Home
        ATHENA
         Contents
1.                                                                                                                                                                                                                                                                                        nternational       Figure 2 16  Structure Created by Etch Statement    Deckbuild  ATHENA Etch    Etch Method  Etching Machine  Geometrical type    All   Left   Right   Above   Below  or thickness   Any shape    Material  a  Polysilicon Rip ShCgRee Gers                      User defined material  Eh fecatien  urd 3    Yhichness  pmi i       Arbitrary points        x 0 20 y  1  x 0 4 y 1     Insert 7     x 2 y 1    To                      X location  0 20 000 d  10 00  Y location   1 000    10 00                Comment  Poly definition   WRITE                Figure 2 17  Arbitrary Etch       Silvaco 2 21    ATHENA User s Manual             If this input file fragment is runned instead of the previous one  using the INIT statement from the  History capability   the structure after this etch sequence will appear as displayed in the right hand  plot in Figure 2 16  ATHENA etches all polysilicon material within the specified polygon  The polygon  etch can consist of any number of points  If you use the Insert button  an additional point will appear  after the currently selected point        An additional option for geometrical etching is a dry etch with a specified thickness  This can be used  for spacer for
2.                                                                                                                                                             nternational 1994 f        Figure 2 23  MOSFET Structure with Electrodes    You can now use the ATHENA Electrode menu  see Figure 2 24  by selecting  Commands    gt Structure    gt  Electrode     To set an electrode at a specified position  select the  Specified Position button  type in the X Position  e g    0 9  and Name  for example  source   and  press the Write button  The following statement will appear in the input file               ECTRODE NAME SOURCE X  0 9       Similarly  specify the drain electrode                           ECTRODE NAME DRAIN X 0 9          The polysilicon gate electrode specification has the same format  For this structure it can be done the  same way as for source or drain           ELECTRODE NAME GATE X 0 0                         Silvaco 2 27    ATHENA User s Manual       If the polysilicon layer is not the topmost layer at x 0  the Y Position can be specified  In this case   check the Y Position checkbox and type in a y coordinate within the polygate layer  e g    0 2   If Y is  not specified and the electrode is not on top  ATHENA will look for the electrode in the underlying  layers  If it fails  an error will be reported  To specify a backside electrode  select Backside from the  Electrode Type field and type in a name  see also Figure 2 24       iy Deckbuild  ATHENA Electrode    Elect
3.                                              MATERIAL specifies MATERIAL1 for which parameters to be set  see Section 6 2 9     Standard and  User Defined Materials    for the list of materials       MATERIAL specifies MATERIAL2 for which parameters to be set  see Section 6 2 9     Standard and  User Defined Materials    for the list of materials      DIFF 0  DIFF E  SEG 0  SEG E  TRN O  and TRN E specifies the diffusion coefficients of oxidant in  MATERIALI and the boundary coefficients     transport    and    segregation     from MATERIAL1 to                                                 MATERIAL2 as defined above  DIFF 0 is the diffusivity pre exponential factor in cm  sec  DIFF  E is  the energy in eV  The transport coefficient represents the gas phase mass transfer coefficient in terms  of concentrations in the solid at the oxide gas interface  the chemical surface reaction rate constant at  the oxide silicon surface  and a regular diffusive transport coefficient at other interfaces  The  segregation coefficient is 1 at the oxide gas interface  it is infinity at the oxide silicon interface  and is  a regular segregation coefficient at other interfaces        Note  Oxidant in materials other than oxide is allowed to diffuse and segregate but its concentration is then ignored  for  instance  no oxynitridation         Silvaco 6 75    OXIDE ATHENA User s Manual       HENRY COEFF  Henry   s coefficient  is the solubility of oxidant in MATERIAL1 measured in cubic  centimeter
4.                                          Description    This statement is used to simulate deposition of specified material on the exposed surface of the  current structure     MATERIAL specifies the material to be deposited  see Section 6 2 9     Standard and User Defined  Materials    for the list of materials      NAME RESIST specifies the type of photoresist to be deposited   THICKNESS specifies the deposited layer thickness in microns     SI_TO_POLY specifies that crystalline silicon will be deposited only over crystalline silicon  while  polysilicon will be deposited elsewhere     TEMPERATURE specifies deposition temperature used by STRESS HIST model  The temperature  is also used for surface diffusion simulation during ELITE deposition              Grid Control Parameters    DIVISIONS specifies the number of vertical grid spacings in the layer  In some cases  it is important  to control the number of grid points in a conformally deposited layer since this also controls the  accuracy of subsequent processes  SPACES is an alias for DIVISIONS  The default is 1        Note  The default for DIVISIONS is 1  This typically needs to be increased for all deposition steps  If DIVISIONS is set  too low to maintain grid integrity in a non planar deposition  ATHENA will attempt to recover by increasing DIVISIONS  automatically  ATHENA will echo the number of DIVISIONS finally used to the run time output     DY specifies the nominal spacing in the layer  Units are microns     YDY sp
5.                                      Table 6 1  Types of Parameters  Type Description Bea ae Example  Character   An alphabetic  alphanumeric  or   Yes OUTFILE MOS STR  numeric string  Integer Any whole number Yes DIVISIONS 10  Boolean A true or false condition No OXIDE or OXIDE f  Real Any real number Yes C BORON 1 5e14                   Any parameter that does not have a logical value must be specified in the form PARAM VAL  where  PARAM is the name of the parameter and VAL is the value of the parameter  Boolean parameters must  be separated from other parameters or commands with a space     For example  in the statement line        DEPOSIT NITRIDE THICK 0 35             the NITRIDE parameter has a Boolean value  true  and the THICK parameter has a value of 0 35 real      Many parameters are provided default values  If a parameter is not specified  its default value will be  used  Table 6 1 explains the different types of parameters which may be used when preparing an  ATHENA input deck  The command language of ATHENA is not case sensitive and can be entered using  either upper case or lower case letters     6 1 1  Abbreviations    It is not always necessary to input the entire statement or parameter name  ATHENA only requires  that you input enough letters to distinguish that command or parameter from other commands or  parameters  For example  DEPO can be used to indicate the DEPOSIT command              Silvaco 6 1    Overview ATHENA User s Manual       6 1 2  Continuati
6.                                     Silicon oxide Interstitial Vacancy  Silicon nitride   KSURF   0 LORIOT  1 0x1070    Silicon oxynitride   KSURF   0 1 0x1070  1 0x107  3  Silicon gas   KSURF  0 1 0x10704 7 0x10708   KSURF E  eV  0 0 4 08   KPOW  0 1 0 1 0                   All parameters for other combinations are 0 0     B 8  Defect Growth Injection Interface Parameters       Table B 18  Defect Growth Injection Interface Parameters                                                                                     Silicon oxide Interstitial Vacancy   THETA 0 3 67x10708 0 0   THETA E  eV   0 902 0 0   GPOW  0 0 0 1 0   GPOW E  eV  0 0 0 0   VMOLE 5 0x102  5 0x1024  All parameters for other combinations are 0 0    B 9  Material Parameters  Table B 19  Material Parameters  Parameter Silicon Poly Oxide Oxynitride Nitride Photo Alumin  NI O  127  3 9x1016 3 9x1016  1 0 1 0 1 0 1 0 1 0  NI POW  127  IES  s 0 0 0 0 0 0 0 0 0 0  I E  127  0 605 0 605 0 0 0 0 0 0 0 0 0 0   EPS 11 9 11 9 3 9 fies s 1 0 1 0                                  Silvaco       ATHENA User s Manual       This page is intentionally left blank       B 10 Silvaco    Appendix C   Hints and Tips          This appendix is a collection of answers to commonly asked questions about the operation of ATHENA   Some of these questions and answers have been previously published in articles in    The Simulation    Standard        Silvaco   s trade publication  The original articles can be viewed at Silvaco   s home page at
7.                              PACE  MULT  lt n gt  INTERVAL R  lt n gt    LINE DATA   SCALE  lt n gt    FLIP Y                       EPTH STR  lt n gt    WIDTH STR  lt n gt    Description    This command sets up the mesh from either a rectangular specification or from a previous structure  file  The statement also initializes the background doping concentration in all regions     Material Related Parameters    MATERIAL specifies the material to be initialized  see Section 6 2 9     Standard and User Defined  Materials    for the list of materials      ORIENTATION specifies the substrate orientation  Only 100  110 and 111 are recognized  The  default is 100     ROT SUB specifies the major flat of the silicon substrate  It is measured in degrees from the external  x axis of the crystallographic coordinate system  By default  ROT  SUB  45  i e   it represents the   101  plane  This parameter is used only in BCA implantation module        C FRACTION specifies the fractional component of the first element of a ternary compound substrate   i e   Al is the first component for AlGaAs   The fractional component of the second component  i e   Ga  is the second component for AlGaAs  is 1   C  FRACTION  This parameter is valid for standard ternary  materials AlGaAs and InGaAs or user defined ternary materials with the following standard names   AllnAs  InGaP  GaSbP  GaSbAs  InAlAs  InAsP  GaAsP  HgCdTe  InGaN  and AlGaN    Dopant Related Parameters    C IMPURITIES specify the uniform impu
8.                       Description  This command specifies parameters of the base mesh used for initial grid generation   SURELY specifies the location of surface  The default is y 0 0 um   SURE DY specifies the local grid spacing in y direction at SURF   LY     ACTIVE LY  EPI LY  SUB LY specify another three base line location at some critical region of the  device structure to be fabricated     ACTIVE DY  EPI DY  SUB DY specify the local grid spacing at the ACTIVE  LY  EPI  LY  SUB  LY  The  units are all in microns                 BACK LY and BACK DY are the location of bottom in the structure to be fabricated and the BACK  DY  is the local grid spacing in the BACK  LY location     Examples    The following example assigns the initial base line for the substrate materials  It places the base line  at y 1 0  y 2 0  y 10 0 with a local grid spacing of 0 01 um  0 5 um  1 0 um and 10 um separately  The  device dimension in the y direction is specified as y main 0  y max 100  This depth  however  is  only for reference  The real depth and width of the device structure will be assigned in the  INITIALIZE command                    BASE MESH SURF LY 0 0 SURF DY 0 01   ACTIVE LY 1 0 ACTIVE DY 0 5 EPI LY 2 0 EPI DY 1 0   SUB LY 10 0 SUB DY 10 0 BACK LY 500 BACK DY 100                               For more examples  see BASE   MESH and INITIALIZE                                Silvaco 6 15    BAS    E PAR    ATHENA User s Manual       6 8  BASE PAR             Sy    Description    n
9.                    Silvaco 6 57    LAYOUT    ATHENA User s Manual          Note  You can only use the RINGW                GAP parameter in the IMAGE statement        PHASE specifies the phase shift produced by the feature   180   lt PHAS                 IDTH and MULTIRING parameters for proximity printing model specified by the    E lt 180     The default value is 0       TRANSMIT specifies the intensity transmittance of the feature  0S lt TRANSMIT lt 1   The default value is    unity     INFILE specifies the name of the MaskViEws layout file  This file contains the mask information  The  MASKVIEWS mask information is a set of polygons for each mask layer with attributes  transmittance  and phase shift  for each polygon     MASK specifies the name of mask to be used for image calculation     SHIFT MASK specifies the name of the additional mask layer   usually phase shifting layer     Examples    The following statement describes a mask feature that is 2 microns in the x dimension and 0 4 microns  in the z direction and rotated by 45   with respect to the x axis     LAYOUT X LO  1 X HI 1 Z LO  0 2 Z HI 0 2 ROT ANGLE 45      TRANSMIT 1                                                                      For more examples  IMAGE  ILLUMINATION  PROJECTION  ILLUM FILTER  PUPIL FILTER   ABERRATION  and the VWF INTERACTIVE TOOLS USER   S MANUALS   6 58 Silvaco    LINE       6 33  LINE    LINE specifies a mesh line during grid definition        Syntax  LINE  X Y LOCATION  lt n gt   
10.                  Monte Carlo Implant Example    This example specifies a 300keV boron implant at zero degrees tilt and rotation  Accurate modeling of  such implants is only possible in the BCA model  Since ion channeling is highly dependent on the tilt  angle        IMPLANT BORON DOSE 1E13 ENERGY 300 BCA TILT 0 ROTATION 0                   Implant Damage Example    This example implants phosphorus and invokes the unit damage model  The UNIT DAMAGE model  creates an interstitial profile scaled to the implant doping profile  DAM  FACTOR is used here to specify  that the interstitial concentration will be ten times less than the doping throughout the depth of the  implant profile        IMPLANT PHOSPHORUS DOSE 1E14 ENERGY 50 UNIT DAMAGE DAM FACTOR 0 1       6 48 Silvaco    IMPURITY       6 29  IMPURITY    IMPURITY specifies impurity parameters        Note  This statement supersedes the older syntax using separate statements for each impurity type  The ARSENIC   ANTIMONY  BORON  INDIUM and PHOSPHORUS statements should no longer be used     Syntax    IMPURITY  I IMPURITY  DONOR ACCEPTOR NEUTRAL  MATERIAL  AT NUMBER  lt n gt    AT MASS  lt n gt                        DIX 0  lt n gt    DIX E  lt n gt    DIP 0  lt n gt    DIP E  lt n gt    DIPP 0  lt n gt    DIPP E  lt n gt    DIM 0  lt n gt    DIM E  lt n gt           DIMM 0  lt n gt    DIMM E  lt n gt   DVX 0  lt n gt    DVX E  lt n gt   DVM  0  lt n gt   DVM  E  lt n gt  DVMM  0  lt n gt   DVMM  E  lt n gt           DVP   0  lt n 
11.                  The following changes are made in the METHOD statement  The LOWTHER parameter is removed  It  had been set to TRUE as default all along  Obsolete parameters SU MOD  GRIFFIN MOD  and  V LOOP SINK are removed  Obsolete diffusion model POWER is removed  It was effectively  equivalent of FERMI model              All parameter names related to vacancies and interstitials are standardized to VACANCY and  INTERST  i e   C  VACANCY  F  INTERST  and so on         Removed obsolete PAUSE command  DECKBUILD has built in Pause capability   Fixed long time broken command CPULOG        Removed obsolete command ECHO PRINTF has the same capabilities        Removed obsolete DEFINE and UNDEF commands  DECKBUILD has extensive SET capability                    Removed obsolete command ECHO PRINTF has the same capabilities     Renamed SET command to SETMODE to distinguish with DECKBUILD   s SET  Similarly UNSET is  renamed to UNSETMODE  Old names are also available as synonyms     The composition fraction  the C  FRACTION parameter  could be now specified  INITIALIZE and  DEPOSIT statements  not just for standard ATHENA ternary material AlGaAs and InGaAs but  also for the user defined materials corresponding to the following standard SILVACO ternary  materials  AlInAs  InGaP  GaSbP GaSbAs GaSbAs InAlAs  InAsP  GaAsP  HgCdTe  InGaN  and  AlGaN                                                  D 6    Silvaco    ATHENA Version History       D 6  ATHENA Version 5 6 0 R Release Notes  D
12.                 ANGLES specifies the angle parameter used by the model PLANETAR     C AXIS specifies the central axis length used by the models CONICAL and PLANETAR        P AXIS specifies the planetary axis length used by the models PLANETAR and CONICAL              DIST PL specifies the distance from wafer to planetary axis used by the model PLANETAR        SIGMA DEP specifies the surface diffusion parameter used by the models UNIDIRECT  DUALDIRECT   HEMISPHERIC  PLANETARY  CONICAL  MONTE1  and MONTE2                                   6 86 Silvaco    RATE DEPO       SIGMA 0 and SIGMA E specify pre exponential coefficient and activation energy of temperature  dependent surface diffusion  The temperature is specified on the DEPOSIT command     SMOOTH WIN and SMOOTH STEP specifies a window size in microns and a number of smoothing  passes for the simple geometric deposit smoothing algorithm        MCSEED specifies a seed to be used for random number generation in the Monte Carlo deposit  models  MONTE1 and MONTE2              STICK COEF specifies the sticking coefficient for the MONTE1 model  Unitless  which must be  between 0 0 and 1 0     Examples       The following statement defines a machine named TEST that deposits silicon nitride with a rate of  1500 A minute using the CVD model with step coverage of 75                  RATE DEPO MACHINE TEST NITRIDE DEP RATE 1500 A M CVD STEP COV  75                                     For more examples  see DEPOSIT           Silvaco 6
13.               A bug in ELITE deposition of oxide when voids are formed has been fixed    A bug in boundary conditions during impurity diffusion from ambient has been fixed   Specified ambient concentration is now guaranteed at the surface points    A bug in image simulation for the case of contact printing has been fixed     D 7  ATHENA Version 5 4 0 R Release Notes  D 7 1  SSUPREM4    Diffusion Simulation Features    1     Transient impurity activation model is implemented  Parameters of the models  TRACT 0   TRACT E  and TRACT MIN are specified in the IMPURITY statement  See the Section    Transient  Activation Model    in Chapter 3     SSUPREM4 Models         The Interface Trap Model is implemented  The model simulates effect of the dose loss at the  silicon oxide interface  See the    Interface Trap Model  Dose Loss Model     Section in Chapter 3      SSUPREM4 Models    for more information     A special capability to simulate Boron diffsuion in SiGe is implemented  It includes a feature to    deposit the SiGe layer with graded Ge content and two empirical models which modify Boron  difusivity as a function of Ge content           Implant Simulation Features       1  Old Monte Carlo simulation capabilities both for amorphous and crystalline materials are phased  out    2  Binary Collision Approximation Monte Carlo module capabilities now supercede those of old  MONTE models and are to be used for all non analytical implant simulations    3  All parameters related to old M
14.              Table B 2  Parabolic and Linear Rate Constants for Wet Ambient  Parameter Value  IN L O  pm min  3 45x104  IN L E  eV  1 6  LIN H O  ym min  2 95x10    JIN H E  eV  2205  i  BREAK    C  900                             B 1 3  Orientation Factors For Linear Coefficients  both Ambients        Table B 3  Linear Coefficient Orientation Factors                Orientation Value   For  lt 100 gt  orientation  unitless  ORI FAC   0 595  For  lt 110 gt  orientation  unitless  ORI FAC   0 833  For  lt 111 gt  orientation  unitless  ORI FAC   1 0                   B 1 4  Pressure Dependence    For Dry Oxidation  DRY  L PDEP      1 0andP PDEP   1 0        0 75 and P PDEP         1 0  For Wet Oxidation  WET  L PDEP                                              Table B 4  Thin oxide coefficients  only for dry ambient    Orientation THINOX 0 THINOX E  eV  THINOX L  p  THINOX P  14    u2 min     lt 111 gt  5 87 x 106 2732 0 0078 1 0    lt 110 gt  5 37 x 104 1 80 0 0060 1 0    lt 100 gt  6 57 x 106 2 37 0 0069 120          B 2       Default Coefficients       B 1 5  Chlorine Dependence                                              Table B 5  Chlorine dependence of dry coefficients for three temperatures  900  1000  1100  C  HCL PC HCL LIN HCL PAR   900 1000 1100 900 1000 1100  0 0 1 0 L O 1 20 1 0 1 0 1 0  1 0 2 75  25 1 621  1 083 1 658 1 355  3 0 PJS 486 2 207   125 1 840 1 490  5 0 hers 486 2 207   1 444 2 075 1 641  7 0 1 75 486 2 207   1 639 2 332 1 816  10 0 ters 486 220      
15.             where Ccp is the total particle  chemical  concentration  J  is the flux of mobile particles  OV is the  gradient operator  and S accounts for all source and sink terms  The difference between the total   chemical  concentration and the actual mobile concentration is described in a later section entitled  Section 3 1 6     Electrical Deactivation and Clustering Models     In semiconductor diffusion problems   there are generally two contributors to the particle flux        The first contributor is an Entropy Driven Term  which is proportional to the concentration gradient of  mobile particles  The coefficient of proportionality  D4  is called the diffusivity  The second contributor    is a Drift Term  which is proportional to the local electric field  Notice that if there are several types of  electrically charged species present  this term establishes a coupling between them  since all charged  particles both contribute to and are influenced by the local electric field     The Flux Term  J4  can be written as     J4    D  C VC   CyoE 3 3    where C4 designates the mobile impurity concentration  o is the mobility  and E is the electric field  It  should also be observed that Equation 3 3 is non linear  since both the diffusivity D4 and the electric  field E in general depend on the concentration of all present species  In thermodynamical equilibrium     the Einstein relation relates mobility and diffusivity through the expression D   tTa        Silvaco 3 3    ATHE
16.            6 2 7  Execution Control Statements    These statements control some execution capabilities  Some of them are useful only in a batch mode  when ATHENA is run outside DECKBUILD     COMMENT is used to document the input file    CPULOG instructs ATHENA to output CPU statistics    FOREACHIEND specifies the command looping facility    HELP prints summary of statement names and parameters    OPTION specifies the level of run time output    PRINTF parses a string or expression and places result into standard output   QUIT terminates execution of ATHENA    SETMODE sets execution mode parameters    SOURCE causes ATHENA to read statements from the specified file   UNSETMODE sets execution mode parameters to false     6 2 8  Obsolete Statements    The following statements existed in earlier versions of ATHENA  Their capabilities were substituted  either by superior capabiliites of VWF INTERACTIVE TOOLs or included in other more advanced or generic  statements        ANTIMONY is substituted by the I   ANTIMONY parameter in the IMPURITY statement   ARSENIC is substituted by the I   ARSENIC parameter in the IMPURITY statement   BORON is substituted by the I BORON parameter in the IMPURITY statement   COLOR   all plotting capabilities are now provided by ToNYPLOT                                                  CONTOUR   all plotting capabilities are now provided by TonyPLoT    ECHO is a synonym of the PRINTF statement   DEFINE is substituted by the SET capability in DECKBUILD   
17.          The machine is modeled with a hemispherical deposition model  The deposition rate is 1 micron   minute  The logical parameter  U M  specifies what units are used  in this case  microns per minute   Finally  the angles of incidence of the hemispherical deposition with respect to the surface normal are    specified with the ANG             El and ANGLE2 parameters  You can modify these characteristics of the    machine PE4450 by copying the specification to the input file and using an ASCII editor  For example     RATE DEPO MACHIN                E PE4450 ALUM          INU               U M SIGMA DEP  35 HEMISPH  ANGLE1 72 ANGLE2    70                E D    EP   RAT             redefines machine PE4450 to have a deposition rate of 0 5 micron minute        2 60    Silvaco    Tutorial       Defining ELITE Deposition Machines    You can define your own deposition machine using the ATHENA Rate Deposit menu  Figure 2 44   To  open this menu  select Process  Deposit   Rate Deposit in the Commands menu  Machine  definition requires the specification of five general parameters and one or several model specific  parameters  The general parameters that must be specified are the following     e Machine name  e g   TESTO1   This parameter uniquely identifies the machine   e Material name  e g   aluminum   A user defined material     e Machine  model  type  e g   unidirectional   You can select one of six models by pressing the  appropriate button     e Deposition  rate units specif
18.        4 8 Silvaco    ELITE Models         Central Axis    C AXIS       Source    Figure 4 6  Geometric of Source to Substrate in a Conical Evaporator    4 3 7  Conical Deposition       To use this model  specify the CONICAL parameter in the RATE DEPO statement              The Conical model is a simplified version of the Planetary model with    and r   0  the substrate always  sees a symmetrical cone source  In this type of configuration  the integral of the above two equations  can be evaluated analytically and expressed in the following simple closed form     _ RR IW      L     L i  R x  y    l      tan   n ax        z tan    nin  aW RNY  z  i            4 10  If expression under either square root in this formula is less than 0 it is set to exact 0   L R   LW  L i 2  R  x y    ST asin  E tan A      asin      tan    nin 4 11  NR  W  R  L     R       Silvaco 4 9    ATHENA User s Manual       In the Conical model  the parameter  ANGLE1 y  and other parameters are C AXIS and P AXIS as  shown in Figure 4 6        4 3 8  Monte Carlo Deposition    There are two models that are invoked by specifying the MONTE1 or MONTE2 parameters in the  RATE DEPO statement  The parameters SIGMA DEP  DEP RATE  and ANGLE1  MONTE1 invokes the Monte  Carlo based deposition model  which you can use to model low pressure chemical vapor deposition   LPCVD   89    90      Since the radicals are incident on the substrate with non zero thermal velocities  they may be re   emitted from the surface before 
19.        Example setting numerical techniques    The following statement specifies that minimum fill reordering should be done and that the entire  system should be solved using a conjugate residual technique with three back vectors  The initial time  step should be 0 1 seconds and time should be integrated using the TRBDF parameter  The FERMI  model should be used for diffusion and the COMPRESS model for the oxide growth              METHOD MIN FILL CG BACK 3 INIT TI 0 1 TRBDF FERMI COMPRESS          Example setting diffusion model for power devices    The following step specifies that a simple diffusion model should be used appropriate for power  electronic devices     METHOD POWER  DIFFUSION TEMP 1000 TIME 300 NITROGEN                   Example setting diffusion models for RTA    The following statement invokes all  311  cluster models for RTA simulation  It must be set before the  IMPLANT statement that generates the cluster damage        METHOD NEWTON FULL CPL CLUSTER DAM I LOOP SINK HIGH CONC BACK 6  IMPLANT  DIFFUSE                      Silvaco 6 69    MOMENTS ATHENA User s Manual       6 37  MOMENTS    MOMENTS specifies tables and spacial moments used in analytical implant models     Syntax          OMENTS                SVDP_TABLES   STD_TABLES   USER_STDT   USER_TABLE  lt c gt    ATERIAL   I IMPUTITY   DOSE  lt n gt    ENERGY  lt n gt                                       RANGE  lt n gt    STD DEV  lt n gt    GAMMA  lt n gt    KURTOSIS  lt n gt   STD DEV   LGAMMA   LK
20.        Note  Since ATHENA parser doesn   t recognize parameter names that begin with numerals  non standard names are used for  Silicon Carbides  STC_6H  STC_4H  and SIC_3C  Standard names  6H SiC  4h SiC  and 3C   SiC are used  for these materials outside ATHENA  e g  TonyPlot and ATLAS               Insulators          OXIDE  OXYNITRIDE  NITRIDE  PHOTORESIST                                        Metals                      ALUMINUM  TUNGSTEN  TITANIUM  PLATINUM  COBALT             Silicides             WSIX  Tungsten Silicide   TISIX  Titanium Silicide   PTSIX  Platinum Silicide   COSTX  Cobalt  Silicide     Special Materials                                  GAS is used only in the IMPURITY  INTERSTITIAL and VACANCY statements to specify some  parameters  i e   segregation  at exposed boundaries                             BARRIER is a fictitious material  It can be specified only in DEPOSIT and ETCH statements and serve  as a masking material              Silvaco 6 7    ATHENA Statements List ATHENA User s Manual       User defined Materials             User defined materials can be specified by MATERIAL    lt c gt   where  lt c gt  could be a single word  MATERIAL OXIDE1 or any string in double quotes as MATERIAL  MY INSULATOR     The user   defined material with the names exactly corresponding to SILVACO standard material names are  saved in SILVACO Structure Files as those standard materials and will be recognized as such by other  tools  e g  DEVEDIT and ATLAS  The fo
21.        Silvaco E 8    Bibliography       10     11     12     13     14     15     16     17     18     19     20     J D  Plummer  M D  Deal  and P B  Griffin  Silicon VLSI Technology  Fundamentals  Practice and  Modeling  Prentice Hall  2000     G F  Carey  W B  Richardson  C S  Reed  and B  J  Mulvaney  Circuit  Device and Process Simulation   Mathematical and Numerical Aspects  John Wiley and Sons  1996     C  C  Lin and M  E  Law     2 D Mesh Adaption and Flux Discretizations for Dopant Diffusion  Modeling     IEEE Trans  CAD  v  15  p  194  1995     C  C  Lin and M  E  Law     Mesh Adaptation and Flux Discretizations for Dopant Diffusion  Modeling     NUPAD V  p  151  1994     D  Mathiot  and J C  Pfister     Dopant Diffusion in Silicon  A Consistent View Involving  Nonequilibrium Defects     J  of Appl  Phys  v  55  p  3518  1984     P M  Fahey  Point Defects and Dopant Diffusion in Silicon  Ph D Thesis  Integrated Circuits  Laboratory  Department of Electrical Engineering  Stanford University  June 1985     M E  Law  Two Dimensional Numerical Simulation of Dopant Diffusion in Silicon  Ph D  Thesis   Department of Electrical Engineering  Stanford University  1988     J  A  Van Vechten and C  D  Thurmond     Entropy of Ionization and Temperature Variation of  Ionization Levels of Defects in Semiconductors     Phys  Rev  B  v  14  p  3539  1976     R B  Fair   ed  F F Y  Wang      Concentration Profiles of Diffused Dopants in Silicon     Impurity  Doping Process in Silic
22.      e Angadd  the sum of Cergy lt n gt  and Cergyn lt n gt  for each  i k  pair  It corresponds to the energy   angle distribution of the particles     e Angtot   the sum of Angadd for each k  it corresponds to the angle distribution of particles   e Erel   the result of i Nrow  1  2 Nrow  corresponding to the medium energy in eV of the 50  normalized intervals  0 0  0 02    0 02  0 04        0 98  1 0      OUTF ANGLE specifies the name of an output file in which energy angular ion flux distribution is  saved  The distribution can be plotted using ToNYPLOT     ER LINEAR  ER INHIB  ER COVERAGE  and ER THERMAL specify surface kinetics model to be  used  Simple linear  Adsorbed inhibiting layer  threshold coverage  and thermal spike models   correspondingly  Default is ER  LINEAR                 K I specifies the plasma etch rate linear coefficient related to the ion flux     K F specifies the plasma etch rate linear coefficient related to the chemical flux        6 92 Silvaco    RATE ETCH       K D specifies the plasma etch rate linear coefficient related to the deposition flux   SPARAM specifies S parameter of threshold coverage and thermal spike models   THETA specifies theta parameter of threshold coverage and thermal spike models     IONFLUX THR specifies the flux threshold value below which the flux is not considered for etching   Default is 0 0     MAX IONFLUX specifies a multiplier for ion flux generated by the plasma etching machine  Default  is 1 0     MAX CHEMEFL spe
23.     20       SILVACO International 2004    Figure 3 15  VV  Ratio versus Doping Concentration       TonyPlot V2 8 18 A A    Files  View    Plot  Tools     Print  Properties    Help                   X Antimony  2    Arsenic  G    Boron        Phosphorus          Oxidation Conditions  950 C  20 min  DryO2        amp   3  g  E     a      2                lelh oping Concelftthtion  rem  3    20  Click to place   P changes alignment  or drag to get leader    SILVACO International 2004       Figure 3 16  Simulated Silicon Dioxide Thickness vs  Doping Concentration for Common Silicon Dopants       3 56 Silvaco    SSUPREM4 Models       3 3 5  Parabolic Rate Constant    For long oxidation times and high temperatures the oxide growth is parabolically related to the  oxidation time  The diffusion of oxidant in the oxide is the determining factor in describing the growth  kinetics  For these times and temperatures  the oxide thickness can be approximated as     xo   Bt 3 165    where B is called the parabolic rate constant and is given by Equation 3 135  When using this  equation  the oxidant diffusivity  Dg  is determined from specified values for Cc     N yz  and    experimentally determined values of B  The parabolic rate constant has been determined to have  dependencies on the ambient pressure and the chlorine content during oxidation and is given by    B   B   Bp  Bucy 3 166  where   PARL exp PARLE  T lt  P BREAK  b  B    3 167  PAR H Oep  PARLE T  gt  P BREAK  b    B  is determin
24.     ATHENA User s Manual       112 D J  Kim  et  al      Development of Positive Photoresist     IEEE Trans  Electron Devices  v  ED 31  p   1730  1984     113 C A  Mack     PROLITH  A Comprehensive Optical Lithography Model     SPIE Proc   v  538  p 207   1985     114 P  Trefonas III  et al      New Principle For Image Enhancement In Single Layer Positive Photoresist      SPIE Proc   v  771  p  194  1987     115 Y  Hirai et al      Process Modeling For Photoresist Development And Design Of Drl sd  double Resist  Layer  by a Single Development Process     IEEE Trans  on CAD  v  CAD 6  p  403  1987     116 J Van Roey  J  vander Donk  P E  Lagasse     Beam propagation method  analysis and assessment     J   Opt  Soc  Am   Vol  71  No  7  p 803  July 1981     117 J Z Y Guo  F Cerrina     Modeling X ray proximity lithography     IBM J  Res  Develop   Vol  37  No  3   p 331  May 1993     118 J W Goodman  Introduction to Fourier Optics  McGraw Hill  New York  1969   119 B  Kernighan and D Ritchie  The C Programming Language  Prentice Hall  1988     120 D B  Kao  J P McVittie  W D Nix  and K C Saraswat     Two Dimensional Thermal Oxidation of  Silicon I  Experiments     IEEE Trans  Electron  Dev   ED 34  p 1008  1987     121 R B  Fair  Impurity Doping Process in Silicon  Ed  F F Y  Wang  North Holland  Amsterdam  1981   122 C L  Chu     Characterization of Lateral Diffusion in Silicides     IEDM Tech  Digest  p  245  1990     123 P H  Langer and J I  Goldstein     Boron Autodoping D
25.     Control    Print       Figure C 8  Junction depth of an arsenic implant after a fixed diffusion as a function of DAM FACT and KSURF 0       Silvaco C 11    ATHENA User s Manual       Question     Which are the key parameters for tuning RTA simulations when using the new Stanford diffusion  models in ATHENA version 4 0     Answer     For RTA applications it is recommended to use the new set of models from Stanford University  included in ATHENA version 4 0  These models include effects of  311  defect clusters  dislocation loops  and high concentration effects  To enable all these models the syntax used is     METHOD FULL CPL CLUSTER DAM I LOOP SINK HIGH CONC                      The syntax METHOD NEWTON is also recommended to improve the speed of simulations     Since these models are an extension of the existing FULL CPL models many of the same tuning  parameters apply  Previous simulations  311  have shown how the surface recombination rate of  interstitials KSURF 0 is a key tuning parameter for reverse short channel effect where damage  enhanced diffusion is significant  This is also true in the  311  cluster models     In RTA simulations with the FULL CPL model all point defects are created by the implantation  They  are at a maximum at t 0 of the RTA and their concentration decays rapidly with time due to diffusion  and recombination  A very important effect of the  311  cluster model is that the free point defect  concentration is not created at the time of the impl
26.     Figure 4 5 illustrates the planetary evaporation system  By inspecting this system  you can be  convinced that the rotation of the planet along the system central axis has no effect on the deposition  rate  For simplicity   s sake  you can calculate the growth rate by holding the planet stationary and by  rotating only the source along the axis of the planet  see Figure 4 5   The growth rate is derived  according to the following equations         r      rLtanA  LW   Lsec A    Ltan Asin p  Lcos p  tan          Ry  xX 2 d   4 8   R  7  L    2rLtan A   N R   WIR    r   Ltan Ay    Lee  R   7      rLtanA   LW   Lsec  Ay   L tan Asin B  Lcos p    5 ja  R r ei Deter Al E EW R  Or Lan A     where     e 6is the incident angle of the vapor stream    e fis the tilt angle of the planet plane    e A 56 8    e ris the distance between the position of the wafer and the planet axis   e R L  and W are the parameters dependent on the system dimensions        Silvaco 4 7    ATHENA User s Manual         Central Axis    Substrate  N       Figure 4 5  Illustration of Planetary Evaporator    Using the planetary model of ELITE  you can observe asymmetries both in edge coverage and the depth  of cracks produced by the particular location and orientation of a specimen in a planetary system     Figure 4 6 shows the following planetary model parameters     Yy   ANGLE2  B   ANGLE3           Y DIST PL  P AXIS  C AXIS  and ANGLE1       ANGLE1 is used to calculate DIST PL   P  AXIS   tan ANGLE1        
27.     K  M  Beardmore and N  Gronbech Jensen     Efficient Molecular Dynamics Scheme for the  Calculation of Dopant Profiles Due to Ion Implantation     Phys  Rev  E  57  1998  p  7278     J  M Hern  ndez Mangas  J  Arias  M  Jaraiz  L  Bail  n  and J  Barbolla     Algorithm for Statistical  Noise Reduction on Three Dimensional Ion Implant Simulations     Nucl  Instr  Meth  in Physics  Research B  174  2001  p  433 438     W  Bohmayr  A  Burenkov   J  Lorenz  H  Ryssel  and S  Selberherr     Trajectory Split Method for  Monte Carlo Simulation of Ion Implantation     IEEE Transactions on Semiconductor Manufacturing   v  8  p  402  1995     P Glasserman  P  Heidelberger  P  Shahabuddin and T Zajic  A look at Multilevel Splitting  Technical  report RC 20692  IBM Research Division  T  J  Watson Research Center  Yorktown Heights  New  York  1997     S E  Hansen and M  Deal     SUPREM IV GS  Two Dimensional Process Simulation for Silicon and  Gallium Arsenide     Integrated Circuits Laboratory  Stanford University  1993     O  Madelung  Ed    Semiconductors   Basic Data  Springer Verlag  1996   R  Anholt et al      Ion Implantation Into Gallium Arsenide     J  Appl  Phys  v  64  p 3429  1988     K  Rajendran   Simulation of Boron Diffusion in Strained SiGe Epitaxial Layers   Proc  SYSPAD  p   206  2000     R F  Lever   Boron Diffusion across Silicon SiGe Boundaries   J  of Appl  Phys   v  83  p  1988  1998     J L  Ngau  P B  Griffin  and J D  Plummer     Modelling the Suppression of
28.     Note  The         EXTRACT statement is supported under DECKBUILD and is fully documented in the VWF INTERACTIVE    TOOLS MANUAL USER   S MANUAL  VOL  I        Silvaco    FOREACH ATHENA User s Manual       6 22  FOREACH    FOREACH specifies the command looping facility        Syntax    FOREACH  NAME   COMMANDS   END        LIST           Description       This command is used to specify input loops  FOR is equivalent to FOREACH  When the loop executes   NAME will consecutively take on each value in LIST and exit the loop after assuming the last value     COMMANDS will be executed once for each value in LIST  NAME is set to a value in LIST using the shell  define function           LIST is a set of strings separated by commas or spaces  The values in LIST can be delimited by either  commas or spaces  LIST can also take the following numerical operator form        START TO END STEP VAL                   where START is a numerical start value  END is the last value  and VAL is the amount to increment at  each iteration     Examples    The following statement will increment val from 1 0 to 10 0 in steps of 0 5  This loop will be executed  19 times        FOREACH VAL   1 0 TO 10 0 STEP 0 5    ECHO VAL  END             Note  Command line continuation using the backslash character    P indicator is not supported in the FOREACH statement     Note  This statement is not supported within the VWF Automation Tools  The Automation Tools contain a separate and more  powerful capabilitie
29.     RATE POLISH       6 52  RATE POLISH    RATE POLISH specifies the polishing parameters for a chemical mechanical polishing  CMP  module        Syntax    RATE  POLISH   MACHINE    lt c gt  MATERIAL   NAME RESIST  lt n gt   A H A M A S U S U M U HIN M   SOFT RATE   HEIGHT FAC  lt n gt    LENGTH  FAC  lt n gt    KINETIC FAC  lt n gt    MAX HARD  lt n gt    MIN HARD  lt n gt     ISOTROPIC  lt n gt                      a                                                Description    This command sets the parameters for the CMP machine used in the POLISH statement  The  parameters must be set for each material to be polished  There are two polish models  hard and soft   that can be used together or separately  Define these models by specifying their parameters     MACHINE specifies the machine name     MATERIAL specifies material for which parameters of the CMP machine to be applied  see Section  6 2 9     Standard and User Defined Materials    for the list of materials      NAME RESIST is the user defined photoresist to be polished     A H  A M  A S  U H  U M  U S  and N M specifies that the rates are in Angstroms per hour   Angstroms per minute  Angstroms per second  microns per hour  microns per minute  microns per  second  and nanometers per minute respectively     SOFT RATE is the rate for the soft polish model   HEIGHT FAC is the vertical deformation scale for the soft polish model  Units are microns   LENGTH FAC is the horizontal deformation scale for the soft polish model
30.     The boundary interface conditions for vacancies are set similarly to those for interstitials except that  the VACANCY statements should be used instead of all INTERSTITIAL statements        Dislocation Loop Based Enhanced Bulk Recombination    A topic of some debate in recent literature has been the creation of Dislocation Loops  Currently  the  exact physical nature of these defects is still under investigation  It is believed that they arise from  amorphizing implants and only exist at the edge of the amorphous layer  It has been suggested that  these loops grow through the absorption of interstitials during oxidation and perhaps shrink by the  emission of interstitials when annealed in nitrogen     Due to the lack of proper scientific description  only a simple recombination model has been  implemented into ATHENA  This model introduces an additional sink of interstitials that is described  by the following expression     x  Rj    damalpha  C   C    3 52    where damalpha is a parameter you can set on the INTERSTITIAL statement  This additional  recombination is only applied to a region of the amorphizing implant controlled by the parameters  MIN  LOOP and MAX  LOOP in the DISLOC LOOP statement        As an example  the following statements will produce a region of dislocation loops where the    as implanted phosphorus concentration is between lel6 cm and 1le18 cm  The damalpha  parameter is then set to 1e8 in this region  For example     DISLOC LOOP MIN LOOP 1lel6 MAX
31.     The following is a short description of the cosine law deposition  This is a simple model that accounts  for metallization  due to evaporation  The cosine law deposition model is based on the following  assumptions     e The mean free path of atoms or particles is much larger than the distance between the source and  the substrate    e The source to substrate distance is large compared to the surface topography    e The film grows in the direction toward the vapor flux    e Shadowing effects must be included        4 4 Silvaco    ELITE Models       The magnitude of the film growth rate follows the cosine distribution law  which says that deposited  film thickness grows at a rate proportional to cos w   where o   is the angle between the vapor steam  and the normal surface     The sticking coefficient can be used as a tuning parameter  It is assumed to be 1 0 for deposition on the  cold substrates  at 300 K      Z  D  A       Bs         2    Angle conventions                                  Figure 4 2  Step Profile with a Unidirectional Source    4 3 4  Dual Directional Deposition       This model is invoked by specifying the DUALDIRECT parameter in the RATE  DEPO statement  In this  type of source  each point in the unshadowed region views the vapor streams arriving from two  different directions  and assumes the diffusion length of deposited material large compared to the  features  see Figure 4 3   Growth rate is given as                 R x  y    0  if point  x y  is 
32.    0 0 cee e eee eee eee 3 89  3 6  Deposition  Modelska aiia arse dia ahaha achat ake 0 alas alas ioa aSa beara  Bok  nadia ape cee ahaa ed Bc 3 91  3 6 1  Deposition of Doped Layers cas 4 wan eae cian ienh a Rls veh ern pie Vader Parma date oi aches 3 91  3 6 2  Grid Control During Deposit         nonnes enaena ak etree den  3 91  3 6 3  Epitaxy  Simulations  m ia eta hiss Shr te A E tal eeu BUN soe Ri A A 3 91  3 7  ELCHING Models sit cs cc oti be toi si inatt n i ne e AEP A E a ia 3 92  3 8  Compound Semiconductor Simulation         0000s cece eee eee eee eens 3 93  3 81  Diftusion MOdelSs 2 ccy bey is pele ee oe eerie eae tein phot pele e eee eects 3 93  3 8 2  Implantation Mod  ls cient ee tai ae etcetera tact  EE ENEE ite hea ate tae ava ge  3 94  3 9  SiGe SiGeC Simulation   cio icccvecv sake cows sscwavenweeeaed E EA EA DENENA E EEA 3 95  3 9 1  Deposition of SiGe SiGeC Epitaxial Layer        n   0    c ccc e een eens 3 95  3 9 2  Boron Diffusion in SIGE  SIGE Gs sic caret oh Sete nich Seu See tues eh ket oe ecb eh es 3 95  3 9 3  Boron Transient Diffusion Suppression by Carbon Incorporation Models             0 00sec eee eee 3 96  STOP OUESS MOGI  ie ieoi rend isd a de etal open deta tape nee beret een ese axe 3 97  Chapter 4  ELITE MOQEIS i nindian Satine a a een iea nwa aca thes teat aes aR 4 1  AV Overview   25 03 natra takai Aa a ace seein de es ee eae ee eed ote tees 4 1  4 2  String Algorithm oore E an den Siete Re ekw lk be rene Core wt oote tL eeews OYE SE e
33.    05  Microns    0 6 o7 0 8 0 9 1                SILVACO International 1996    al 1      Figure 2 71  Too Dense Mesh Causes Too Much CPU Time during Subsequent Simulation       2 92    Silvaco    Tutorial       Reasonable  Adjacent  Triangle  Ratios    3   i   Large  Adjacent  Triangle  Ratios  L      a       B A   GRAD SPACE    D  C D   RATIO BOX    Figure 2 73  Base Mesh Formation       Silvaco 2 93    ATHENA User s Manual          TonyPlot   2 5 1    File z  view v  Plot    Tools 7  Print     Properties T  Help v        ATHENA  Structure with Automatic Base Mesh Generation       ee Boron  cm      NNWAKAN  ARASAN    N  4  K                                               SNE SY fe ae NE SRLN a  08 1 12 14         Printing complete    SILVACO International 1995      Figure 2 74  Automatic Base Mesh Generation       TonyPlot   2 5 1          ATHENA  The adaption of lon Implantation on New Base Mesh    INN  RENAA   INRA  RANNA       i  N    aTa  1717   Waa  a   Ni    ZN ZR    ZS  SZ    Dx    VAVAVAVAVAVAVAVAVAVA    SDR DADA DADS Wak KI  E R22    Vad          SSSScs                  Printing complete    SILVACO International 1995 HH    Figure 2 75  lon Implantation Adaption on New Base Mesh       2 94 Silvaco    Chapter 3   SSUPREM4 Models          3 1  Diffusion Models    The diffusion models in ATHENA describe how implanted profiles of dopants defects  see the Note  below  redistribute themselves during thermal treatment  due to concentration gradients and internal  electric f
34.    102 H H  Hopkins     On the Diffraction Theory of Optical Images     Proc  Roy  Soc   v  A 217  p  408  1953     103 H H  Hopkins     Applications of Coherence Theory In Microscopy And Interferometry     J  Opt  Soc   Am   v  47  p  508  1957     104 J Tsujiuchi     Image Forming Performance of Projection Systems     Japanese  J  of Appl  Phys   v  4   Suppl  I   Proc  Conf  On Photographic And Spectroscopic Optics  p  251  1965     105 W H A  Fincham  M H Freeman  Optics  Butterworths  London  Ch  15  1980     106 J  Van Roey  J van der Donk  P E  Lagasse     Beam Propagation Method  Analysis and Assessment      J  Opt  Soc  Am   v  71  p  803  1981     107 J  Z  Y  Guo  F  Cerrina     Modeling X ray Proximity Lithography     IBM J  Res  Develop   v  37  p   331  1993     108 A  Erdmann  C  L  Henderson  C  G  Wilson  W  Henke     Influence of Optical Nonlinearities of the  Photoresist on the Photolithographic Process  Basics     SPIE Proc   v  3051  p  529  1997     109 A  Erdmann  C  L  Henderson  C  G  Wilson  R  R  Dammel     Some aspects of thick film resist  performance and modeling     SPIE Proc   v  3333  p  1201  1998     110 D A  Bernard     Simulation Of Post Exposure Bake Effects On Photolithographic Performance of a  Resist Film     Phillips Journal of Research  v  42  p  566  1987     111 F H  Dill  W P  Hornberger  P S  Hauge  J M Shaw     Characterization of Positive Photoresist     IEEE  Trans  Electron Devices  v  ED 22  p  445  1975        Silvaco BIB 5
35.    ERF Q  lt n gt    ERF  DELTA  lt n gt    ERF LBB  lt n gt    ERF H  lt n gt                                 Description    All parameters relating to oxidation are specified in this statement  Oxidation models are specified in  the METHOD statement  All oxidation models are described in Chapter 3     SSUPREM4 Models     Section  3 3     Oxidation Models     To properly set values for most coefficients  you need to know whether to use  wet or dry oxidation and to know the substrate orientation        Note  If a required parameter is omitted  e g   orientation when a linear rate coefficient is being specified   then the statement  is ignored without warning     Oxide Growth Rate Parameters    DRYO2  WETO2 specifies the type of oxidation to which specified coefficients apply  Required for  everything except for one dimensional coefficients and the volume ratio     ORIENT is the substrate orientation the coefficients specified apply to the required for orientation  factor  see ORI   FAC  and thin oxide coefficients  Only 100  110  and 111 are recognized  The default is  100     LIN L O  LIN L E  LIN H 0  LIN H E  L BREAK  and L PDEP specifies the linear rate coefficients   B A   A doubly activated Arrhenius model is assumed  L BREAK is the temperature breakpoint  between the lower and higher ranges in degrees Celsius  LIN L 0 is the pre exponential factor in  microns min  LIN L E is the activation energy in eV for the low temperature range  LIN H 0 and  LIN H E are the corresp
36.    LBB EOX  for instance  would give a lateral spread equal to  the field thickness  similar to the Hee Gook Lee model with a spread of one     ERF H is the ratio of the nitride lifting to the field oxide thickness  It corresponds to the Guillemot    H     parameter except that it is normalized to the field oxide thickness  It is specified as an expression of  Eox  eox  Tox  en     NIT THICK specifies the nitride thickness to substitute for the parameter EN                                               6 76 Silvaco    OXIDE       Note  The    nitride thickness is user specified in the OXID           ERFG model uses both oxide and nitride thickness  These values are not inferred from the structure  Instead  the  E statement and the oxide thickness is computed by adding the total oxide    grown and the initial user specified oxide thickness  If the structure has more than 20 angstroms  the default  of native oxide on  it when diffusion begins  then thickness must be specified  Beware of this when continuing a diffusion by any means  e g   after  reading in a previous structure      Examples    The following modifies the parabolic oxidation rates for  100  silicon in a dry oxygen ambient     OXID        E DRY ORI 100 PAR L 0 283 333 PAR L E 1 17       Note  If a required parameter is omitted  e g   orientation when a linear rate coefficient is being specified   then the statement  is ignored without warning     The following set the native oxide thickness at 10 Angstroms     OXI
37.    Properties    Help    m  ATHENA  SIMULATION FROM FIGURE 1 WITH LOWER TAU 311 0  20     lt        _ As Implanted           RTA15 sec          RTA1 min     amp       4 RTAS min  G      4 _ furnace anneal  60 min              Phos  Conc    cm 3                       SILVACO International    Figure C 10  The effect of lower TAU 311 0 is to speed up the diffusion over the initial time period        Silvaco C 13    ATHENA User s Manual       Question     How can I determine implant range for non standard materials such as silicides or photoresist     Answer     The analytical implant tables in ATHENA SSUPREM4 cover implantation of the common silicon  dopants  B  P  As  Sb  In  into the commonly used set of materials in semiconductor processing  Silicon   SiO2  Si3N4  polysilicon  aluminum   For other materials or implant species  the lack of complete data  means full analytic tables are not available  The only alternative approach was to use Monte Carlo   MC  Implant simulation     Implantation using MC with the crystalline model is usually required for silicon implantation  For  realistic 2D cases these implants may take up to 30 minutes to run  In order to overcome this problem  an alternative approach is available in ATHENA  This approach uses MC implant in 1D mode to run  implantation simulations into the material of interest  Then the analytical implant moments are  extracted from the implanted doping profile  These analytical moments can be used in a MOMENTS  statement to 
38.    RATE DEVELOP specifies development rates and other photoresist parameters   RATE ETCH specifies the etch rate for machine etches    RATE POLISH specifies polishing parameters for definition of a polishing machine   SILICIDE sets the coefficients for silicidation reactions    TRAP sets the coefficients of trap kinetics    VACANCY sets the coefficients of vacancy kinetics        Silvaco    6 5    ATHENA Statements List ATHENA User s Manual       6 2 5  Special DECKBUILD Statements    These statements invoke special operations when running under DECKBUILD  For more information on  these statements  see the VWF INTERACTIVE TOOLS manual     AUTOELECTRODE defines layout based electrodes    EXTRACT extracts parameters    GO indicates interfacing between simulators    MASK performs photoresist deposition and etching through the MASKVIEws interface   SET sets the value of a user defined variable    SYSTEM allows execution of any UNIX C shell command within an input file   TONYPLOT creates a plot using TONYPLOT     6 2 6  Post processing Statements    Starting from version 4 0  all internal plotting capabilities of former SUPREM IV have been  depreciated  Enhanced superior capabilities are available through TonyPLoT and other VWF  INTERACTIVE TOOLS  Only the following two post processing statements remain        PRINT 1D is used to print the values  data points and profile information    SELECT allows a variable to be chosen as the z coordinate for the PRINT  ID command to follow   
39.    SILVACO    ATHENA    User   s Manual       SILVACO   4701 Patrick Henry Drive  Bldg  1   Santa Clara  CA 95054   Telephone  408  567 1000  Internet  http  Awww silvaco com       April 14  2008          ATHENA  User   s Manual  Copyright 2008    Silvaco  4701 Patrick Henry Drive  Building  6  Santa Clara  CA 95054    Phone   408  567 1000  Web  www silvaco com    Notice       The information contained in this document is subject to change without notice     Silvaco Data Systems  Inc  MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS  MATERIAL  INCLUDING  BUT NOT LIMITED TO  THE IMPLIED WARRANTY OF FITNESS  FOR A PARTICULAR PURPOSE     Silvaco Data Systems  Inc  shall not be held liable for errors contained herein or for incidental or  consequential damages in connection with the furnishing  performance  or use of this material     This document contains proprietary information  which is protected by copyright laws of the United  States  All rights are reserved  No part of this document may be photocopied  reproduced  or translated  into another language without the prior written consent of Silvaco Data Systems  Inc     VIRTUAL WAFER FAB  VWF MANUFACTURING TOOLS  VWF AUTOMATION TOOLS VWF INTERACTIVE  TOOLS DECKBUILD  TONYPLOT  DEVEDIT  TONYPLOT3D  DEVEDIT3D  MASKVIEWS  ATHENA   SSUPREM4  MC IMPLANT  OPTOLITH  ELITE  MC DEPO ETCH  SSUPREM3  SPDB  ATLAS  S PISCEs   BLAZE BLAZE38D  GIGA2D 3D  MIXEDMODE2D 3D  SIC  FERRO  QUANTUM2D 3D  LUMINOUS2D 3D   LED  VCSELS  LASER  TFT2D 3D
40.    The following example sets the moments for boron implantation into the user defined material    SAPPHIRE        MOMENTS MATERIAL SAPPHIR          RANGE 0 098 STD           For more examples  see 1     MP LANT     E I BORON DOS       DEV 0 045 GAM       E 1 6e12       A  0 04       EN    ERGY 25            KU       RTOSIS 3 5       Silvaco    OPTICAL ATHENA User s Manual       6 38  OPTICAL    OPTICAL sets the optical parameters of materials for OPTOLITH   Syntax    OPTICAL   MATERIAL   NAME RESIST  lt c gt     LAMBDA  lt n gt    I LINE G LINE H LINE DUV LINE  REFRAC REAL  lt n gt    REFRAC  IMAG  lt n gt     DELTA  REAL  lt n gt    DELTA  IMAG  lt n gt                     aE                                                   Description       This command sets the optical parameters  reflective index  and extinction coefficient or REFRAC   REAL  and REFRAC  IMAG for each material at a particular wavelength  If photoresist is used  NAME   RESIST  must also be specified                          MATERIAL specifies the material for which the optical parameters to be set  see Section 6 2 9      Standard and User Defined Materials    for the list of materials     NAME RESISTspecifies the name of the photoresist     I LINE  G LINE  H LINE  DUV LINE  and LAMBDA specifies the line or the wavelength  Units for  LAMBDA are microns  WAVELENGTH is an alias for LAMBDA     REFRAC REAL specifies the real component of the refractive index                    REFRAC IMAG specifies the imagina
41.    range between 700 and 1200  C  Outside of this range  the diffusion coefficients may be inaccurate and  numerical difficulties may occur during simulation  For ramped thermal step  a synonym T START  can be used     T FINAL specifies the final temperature for ramped thermal steps  Synonym is T   STOP   T RATE specifies the ramp rate in   C minute for ramped thermal steps   THICKNESS specifies thickness of epitaxially grown layer  Units are microns     GROWTH RATE specifies epitaxial growth rate  It is applicable only when THICKNESS is not  specified  Units are um minute              Doping Related Parameters    C IMPURITIES specify the concentration of the impurity in the epitaxially grown layer in cm  You  can specify more than one of these parameters to define materials doped with multiple impurities                 F IMPURITIES can be specified only together with the corresponding C  IMPURITY  e g  F  BORON  and C BORON   This parameter generates the linearly graded concentration of the specified impurity  in the epitaxially grown layer  where C  IMPURITY specifies concentration at the bottom of the layer  and F  IMPURITY specifies concentration at the top of the layer                          C INTERST specifies the concentration of interstitials in the epitaxially grown layer in cm           F INTERST can be specified only together with C  INTERST  This parameter generates the linearly  graded interstitial concentration in the epitaxially grown layer  where C INTERST 
42.   1 orp 22 ty  5 51    The solution for given    initial conditions     i e   amplitude A immediately after  thin  mask should be  equal to the mask transparency T x y   can now be expressed as a convolution of A  with T     2  x X    0 Y  A x  y  Z       T X     Y   exp  gad tO ta  dX    dY  5 52    This expression exactly corresponds to the Fresnel diffraction        5 16 Silvaco    OPTOLITH Models       5 7 3  Simulation Method    The Beam Propagation Method  BPM  is very efficient for tasks where a    one way    propagation of  electromagnetic field is considered  Common description of BPM can be found in  116    117   A  simplified approach applicable to proximity printing conditions  i e  a gap space filled with  homogeneous matter  so refractive index does not depend on coordinates in the gap  is described here     The initial distribution of amplitude A in x and y  in the plane perpendicular to the propagation  direction z  can be obtained easily as a complex mask transparency function     Ay   A x  y z   0  5 53  Then by applying the 2D Fourier transform over x and y  you can obtain the    angular    distribution     Alko kp k  0    AG y z  O expli k x   k y  dxdy 5 54    In this angular spectrum domain  the relation between A and its z derivative from Equation 5 48 is  given by the following expression  118      OA a ee  az Oke k  z    ijk  k   kA  ko k  z  5 55    It means that for each particular    direction     a specific phase shift has to be applied to get the
43.   6 seconds N2 str        1 min N2 str         10 mins N2 str             n             S   2   5          5     T  ta     ke     S    T       Drd  pi       G  pad                  TREET EART SS  e  pee el  Aa te Sell  iene Fi  al    1 2 3 4 5 6 7 8 9 10    Depth Into Surface  um   Click to place   P changes alignment  or drag to get leader    SILVACO International 1996          Figure 2 26  Interstitials can move far into the substrate even after a short 10min anneal       2 32 Silvaco    Tutorial       Figure 2 26 shows typical diffusion profiles of interstitials after a 1e15 cm3 20keV Boron implant at  various anneal times  After only a 10 minute anneal  the interstitials have diffused 8um into the  substrate     Interstitials  like dopant  require a concentration gradient in order for overall diffusion to take place   For example  if the concentration gradient of interstitials is removed by having too shallow a substrate  depth  the concentration of interstitials will start to pile up because they are no longer being removed  through diffusion into the bulk of the substrate  If the level of modeled interstitials becomes too high   the diffusion of dopant  even near the surface of the substrate  will also be too high and the simulation  will be inaccurate        TonyPlot V2 6 6  File 7  View 7  Plot va Tools Fa Print Fa Properties 7  Help T           EFFECT OF SUBSTRATE DEPTH  20keV Boron  1e15 cm2        gt     X Boron   cm3     1e19 z  1e18 E  1e17 E  1e16 E  1e15 E    1
44.   BPM                ceeeeee 5 9  5 17  D 9  Adaptive Meshing Bimolecular Recombination             eeeeeeeeeeeeeeeeneeeesneeeteneeeees 3 30  Adaptive Meshing Control            ccccccsessseseeseeseeseseeseeeees 2 89 Binary Collision Approximation  BCA             ssessssssserssersersees 6 45  Base Mesh Formation         0 c ccecceessesessesessesesseseseeseeeeees 2 89 Bipolar Process FIOW          cseseseeseeeeseeseteeeeteeeeeeeenees 2 48 53  Heat Cycle eeinetan 2 87 88 CONCUSSIONS eroien a aeaa d e a anadai 2 53  Interface Mesh Control            ccccececeseseseeseceresesteeereees 2 89 94 The Base Current Profile     Low Injection              cse 2 52 53  lon Implantation  sesiis 2 86 The Base Current Profile   Medium Injection                   2 51 52  Advanced Diffusion Model Examples Tuning Base and Collector Currents     All Regions                  2 49  Cowern   s Experiment          ccccccsscscsesesssecscseseseececseseseeees 3 39 Tuning the Base Current     All Regions           sssssseseeeeeees 2 49  Implantation Diffusion Experiment              cccceeseneeees 3 41 43 Tuning the Collector Current     All Regions             ssssssseeees 2 50  Pelaz Experiment            ccccsccsscssscsscsseseccseeseceseeseeeseeeees 3 40 Buzz Saw Model e r e r ee E EE 4 21  Pr  deposition  s i enea e 3 36 37 See also Hard Polish Model  Advanced Diffusion Models              eessceeeeseeeeeseeeeeeneeeeeaes 3 23 43  Classical Model of Dopant Diffusion  CNET             2        3 24 
45.   C   5   3  4 Self interstitial generated   ed C  E   core  jf 4 by the silicon implant paces   700  C  celeste  200  C  600  C   20h  J SA     Simulation with full PLS model z     Full PLS model  18  j I      aml     J  a z  S s    E 5    1  a     2 g   amp  vw i      13   1 i 8  v     f  al n  5 7 i   Od i  16     0 0 2 0 4 0 8 1 1 2 14 16 18 2  10 10 10  Depth  um  time  s           Click to place   P changes allanment or drag to gat leader    SILVACO International 2003          Click te place   P changes alignment or drag to ost leader SILVACO International 2003    Figure 3 5  Simulation of the Cowern experiment and extraction of the evolution of the supersaturation during the  annealing   Experimental data are from  20      Figure 3 5 shows the free interstitial supersaturation behavior with time  This quantity is simply  calculated using the ratio between local effective interstitial concentration and its equilibrium value   From the experimental point of view  this quantity can be related to the boron diffusion enhancement  with respect to its thermal equilibrium diffusion     The supersaturation evolution curve exhibits three parts   e The first step characterized by a high supersaturation value corresponds to a large acceleration of  the dopant diffusion and can be explained by the presence of small clusters     e The second step exhibits a plateau slightly decreasing with time  It is explained by the competitive  growth between  lt 311 gt  defects known as the Ostw
46.   II     Reflecting a Structure in the    Y    Plane using the Mirror Parameter    This tutorial process simulation has been building one half of a MOSFET like structure  At some point  in the simulation  you will need to obtain the full structure  This must be done before exporting the  structure to a device simulator or setting electrode names  In general  structure reflection should be  performed when the structure ceases to be symmetrical  e g   a tilted implant  an asymmetrical  etching  or a deposition takes place   or when a reflecting boundary condition no longer applies to the  side  which is going to be the center of the structure     This example will explain how to mirror the structure at its left boundary  To mirror the structure   select Structure   Mirror in the Commands menu  Figure 2 21      iy ATHENA Mirror    Mirror        Figure 2 21  ATHENA Mirror Menu  Then  press the Write button to write the following statement to the input file     STRUCT MIRROR LEFT       The resulting structure is shown in Figure 2 22        Silvaco 2 25    ATHENA User s Manual       TonyPlot   2 2 1   File ji View 7    Plot 7    Tools 7    Print 7    Properties 7    Help 7   ATHENA    Full structure after mirror operation             is  Hh  Hn  KRE  atgrie  naw   rt E  i    ct  ETETE  betet  rte  crt  Cet  rth    te   eine   pit  Hilt  Eki  aE  chet  etre  tate    ti    Crs  cut  cs  cite  Hiti  ERR     y  i  tet    fee  tat  Haiti  Ail  ih  ite  tet  cit    i     cs  i  H    arip
47.   LINE X LOC 0 SPA 1 TAG LEFT  LINE X LOC 1 SPA 0 1   LINE X LOC 2 SPA 1 TAG RIGHT  LINE Y LOC 0 SPA 0 02 TAG SURF  LINE Y LOC 3 SPA 0 5 TAG BACK          Silvaco 6 59    LINE    ATHENA User s Manual       Note  It is difficult to predict how many lines are going to be generated in each interval  The initial mesh specification is quite  important to the success of the simulation  Use the geometric mode by specifying the NO  IMP parameter on the  INITIALIZE statement to perform a fast simulation without impurities to determine if the grid spacings are appropriate              For more examples  see I          TALI             E  RE          ION     BASE                 ESH  and            BAS    E PAR              6 60    Silvaco    MASK       6 34  MASK    MASK deposits and patterns photoresist or artificial masking material barrier via the MASKVIEWS  interface     Syntax    MASK          NAME   lt c gt      REVERSE   DELTA  lt n gt                     Description    MASK is used in DECKBUILD to provide interface to Silvaco   s general purpose layout editor  MASKVIEWS   When you specify a mask statement  ATHENA will deposit photoresist and pattern it by etching  The  etched pattern is determined by selected cut line in MASKVIEWS  See the VWF INTERACTIVE TOOLS USER   S  MANUAL  VOL  I for a complete description of this feature     NAME specifies the name of the layer that defines the photoresist patterning  Mask names must  appear inside of double quotes  This name must corres
48.   OTFT  OLED  NOISE  DEVICE3D  THERMAL38D  ATLAS  INTERPRETER  MERCURY  FASTBLAZE  FASTNOISE  FASTGIGA  FAST ATLAS C INTERPRETER  MOCASIM   VICTORY  HARM  ZENITH  VISION  MIXSIM  TCAD DRIVEN CAD  SIMULATION STANDARD  CONNECTING  TCAD TO TAPEOUT  AND TCAD OMNI are trademarks of Silvaco Data Systems  Inc     All other trademarks mentioned in this manual are the property of their respective owners        2008 by Silvaco Data Systems  Inc        Silvaco jii    How to Read this Manual                                  Style Conventions  Font Style Convention Description Example     This represents a list of items or   e   Bullet A  terms  e Bullet B  e Bullet C  1 This represents a set of   To open a door   2  directions to perform an action  1  Unlock the door by inserting  3  the key into keyhole   2  Turn key counter clockwise   3  Pull out the key from the  keyhole   4  Grab the doorknob and turn  clockwise and pull    gt  This represents a sequence of   File gt Open  menu options and GUI buttons  to perform an action   Courier This represents the commands    HAPPY BIRTHDAY  parameters  and variables  syntax   New Century Schoolbook   This represents the menu   File  Bold options and buttons in the GUI   New Century Schoolbook   This represents the equations  abc xyz  Italics  This represents the additional   077 7   Note  important information  Note  Make sure you save often when    working on a manual        NEW CENTURY SCHOOLBOOK  IN SMALL CAPS          This represents the n
49.   Parameters related to Grid Control during ETCH       ETCH EPS sets a tolerance on the grid movement during ETCH statements  This parameter is defined    in relative units  The default is 10   that corresponds to about 10 Angstroms  Reducing this number  will allow sub 10A etches to be exact  But the possibility of small triangles being created during etches  is high if the parameter is set too low  This parameter should not be set to zero     Parameters used in the Adaptive Meshing Module    ADAPT specifies that the adaptive meshing should be performed on the IMPLANT  DIFFUSE  or  EPITAXY statements  the default is false      DEPO SMOOTH specifies that the mesh smoothing should be performed after each DEPOSIT  statement                 6 68 Silvaco    METHOD       ETCH SMOOTH specifies that the mesh smoothing should be performed after each ETCH statement     DIFF SMOOTH specifies that the mesh smoothing should be performed after each DIFFUSE  statement     STEP SMOOTH specifies that the mesh smoothing should be performed after each time step on each  DIFFUSE statement              Miscellaneous Parameters    STRESS HIST specifies that stresses to be calculated during etching  deposition  diffusion  and  epitaxy process steps     Example setting tolerances    The following statement specifies that the arsenic equation should be solved with a relative error of 1   and concentrations below 1 x 10   can be ignored        METHOD ARSEN REL ERR 0 01 ABS ERR 1 0E9                  
50.   Silvaco    D 3    ATHENA User s Manual       D 4  ATHENA Version 5 10 0 R Release Notes  D 4 1  General Features    1     2     Added multiple features to provide compatibility with TSUPREM4 and TSUPREMB  see Appendix E      TSUPREM4 and TSUPREM3 Compatibility Features         LEX_LM and OMNI licensing     D 4 2  SSUPREM4    1     10     11   12     13     BCA module has now two different engines for ion trajectory calculations  One of these engines is 2  to 4 times faster than another  In some cases  the faster engine might be slightly less accurate  The  new parameter FAST for IMPLANT BCA statement is introduced  This parameter allows you to  specify  which engine to be used during current Monte Carlo simulation    If FAST t rue  default   the fast engine is used  If FAST false  the slower  potentially more accu   rate  engine is used    The default version of parameter DIVERGENCE  the alias is BEAMWIDTH  in the IMPLANT statement  has changed from 0 to 1    0   ion beam divergence is very difficult to achieve  A typical ion beam  divergence of industrial implanters is 1 to 1 5                            New parameter IV SCALE is introduced in the IMPLANT statement to control estimation of after  implant interstitial and vacancy distributions from BCA damage calculations using parameter  DAMAGE     DAM FACTOR 0 0 can now be specified in the IMPLANT statement  This is used with Advanced  Diffusion Module  DifSim    Wafer miscut feature is implemented for BCA implant in crys
51.   TAU 311 E  lt n gt                  Description    This command specifies the scaling of  311  clusters during a subsequent IMPLANT step and the time  constant for the dissolution of clusters into free interstitials     Note  This command will only work if you switch on the  311  cluster model with the METHOD CLUSTER   DAM command              I IMPURITY specifies an impurities to be used for the  311  cluster scaling  see Section 6 2 10      Standard Impurities    for the list of impurity names that can be used  e g  I   BORON      MATERIAL specifies a material in which the scaling takes place  see Section 6 2 9     Standard and  User Defined Materials    for the list of materials   Default is SILICON     MIN CLUST and MAX CLUST define two values of implanted dopant concentration  Clusters will be  placed between these two dopant concentration levels only  These parameters are used to control the  scaled position of clusters during ion implantation  Typically  MIN CLUST is the background doping  level  MAX   CLUST is the dopant concentration required to amorphize the substrate                      CLUST FACT specifies the ratio between the concentration of clustered interstitials and the  implanted dopant concentration     TAU 311 0  lt n gt  and TAU 311 E  lt n gt  specify the time constant in seconds for the dissolution of  clusters into free interstitials  TAU  311 0 is the pre exponential linear coefficient and TAU 311 E is  the exponential coefficient used to control 
52.   This is because  stopping powers and range parameters are different in different materials  This section will describe  the implant scaling methods available in ATHENA     DOSE MATCH    The Dose Matching Method was historically the first and is the most widely used  50  method  The  Dose Matching Method is selected by the DOSE MATCH parameter  default  in the IMPLANT statement     With this method  the segment of the profile within it    layer is calculated by   C x    pfx  txofp 3 194       where f x  is the distribution function specified for this implant  Gaussian  Pearson  or Dual Pearson   with moments corresponding to the it    layer  x  is the distance from the surface to the top of the jth  layer     k lt i 1    x   gt a th 3 195  k 1    x  is the thickness of the k       layer  Xr is the effective thickness evaluated from     eff k lt i 1     cod So 3 196  0 ei    where b  is the portion of the total implant dose  which is consumed in the k     layer  Obviously  for the    first layer xef   0 and x    0        3 70 Silvaco    SSUPREM4 Models       RP SCALE and MAX SCALE    The other two methods for analytical calculation of implantation profiles in the layered structures are  projected range depth scaling  set by RP EFF or RP SCAL in the IMPLANT statement  and maximal  depth scaling  set by the MAX SCALE parameter   These two methods differ from the dose matching  method in the way the effective depth x  is calculated and in the normalization of the partial profile
53.   This line  will be the new surface        ETCH DRY THICK 0 1    Physical Etch Example    The following sequence defines an etch machine named PLASMA  that performs reactive ion etching of  silicon  The machine is applied to etch the current structure for 10 minutes        RAT  ETCH       E ETCH MACHINE PLASMA1 SILICON                 AACHINE PLASMA1 TIME 10 MINUT       U M RII    E ISOTROPIC 0 1 DIR             ES    ECT 0 9       Note  The program can be sensitive to grid placement  It often helps to prepare the initial grid by having a vertical grid line  exactly at the etch coordinate for geometric etches              For example  see RATE  ETCH           Silvaco    EXPOSE       6 20  EXPOSE    EXPOSE runs the exposure module of OPTOLITH                       EXPOSE   INFILE  lt c gt    PERPENDICUL PARALLEL   X CROSS 2Z CROSS   CROSS VALUE  lt n gt    DOSE  lt n gt    X ORIGIN  lt n gt   F  F                               JAATNESS  lt n gt    NUM REFL  lt n gt    RONT REFL  lt n gt    BACK REFL  lt n gt    ALL MATS  lt n gt    MULT EXPOSE   POWER MIN  lt n gt                                    Description  This command defines the parameters associated with and performs two dimensional exposure     INFILE is the name of an input file that contains a user aerial image cross section data file  This file  has the form      lt wavelength in microns gt      lt number of data pairs gt                           lt x location in structure gt   lt relative image intensity gt   PE
54.   Units are microns     KINETIC FAC is the Kinetic factor  soft polish model   The vertical polish rate increases as the  surface becomes more vertical     MAX HARD is the maximum rate for the hard polish model  Corresponds to a pattern factor of zero   MIN HARD is the minimum rate for the hard polish model  Corresponds to a pattern factor of one   ISOTROPIC specifies the isotropic etch rate used by the POLISH model    Examples    The following statements describe a polishing machine named CMP for nitride and oxide        RATE POLISH MACHINE cmp NITRIDE SOFT 4 N M HEIGHT FAC 0 02    ENGTH FAC 80 KINETIC FAC 10     RATE POLISH MACHINE cmp OXIDE SOFT 25 HEIGHT FAC 0 02    ENGTH FAC 30 KINETIC  FAC 10                                                                                     For more examples  see POLISH and RATE  ETCH           Silvaco 6 95    REGION ATHENA User s Manual       6 53  REGION    REGION specifies a material to be assigned to a defined mesh region           Note  Typically  the REGION statement is not required since initial substrate material is specified on the INIT statement     Syntax    REGION          MATERIAL  XLO  lt c gt    YLO  lt c gt    KHI  lt c gt    YHI  lt c gt    Description    This command specifies the material in a rectangular mesh  REGION statements should follow LINI  statements  Material must be specified for every triangle in a mesh  Therefore for each rectangula  mesh  there must be at least one REGION statement specifying  which mat
55.   ambient is present           method two dim Use before implant doses less than 1e13 cm  and for  oxidations   method full cpl Use before implant doses greater than 1e13 cm     cluster dam high conc                2 4 4  Changing the Method Statement During the Process Flow    It has previously been stated that the disadvantage of using the most advanced and complex models is  the time involved during diffusion cycle simulation  Accordingly  there is an incentive during complex  process simulations to switch back to a simpler model during a diffusion cycle when the majority of the  damage created by a previous implant has been annealed  We will show you when to switch to a  simpler model     If the process being modeled has involved implantation or oxidation at any stage  we advise not to use  the fermi model  An exception to this would be in some power devices with very long diffusion times  where the exact nature of surface damage would have little impact on the final distribution of the  dopant and simulation time is at a premium     In reality  for most small geometry processes  the question of switching models becomes one of when to  add a new method statement that changes from        METHOD FULL CPL CLUSTER DAM HIGH CONC  to                   METHOD TWO DIM    after a high dose implant     Switching guidelines    A simple guideline to follow when to switch method statements during a process flow is by switching  back to the TWO DIM model if the anneal temperature is gr
56.   atoms of the material  The number of AT NUM parameters specified must correspond to  COMPONENTS     AT MASS 1  AT MASS 2  AT MASS 3  and AT MASS 4 specify the atomic masses of the constituent  atoms of the material in atomic mass units  The number of AT MASS parameters specified must  correspond to COMPONENTS     ABUND 1  ABUND 2  ABUND 3  and ABUND 4 specify the relative fraction of the constituent  atoms of the material  The number of ABUND parameters specified must correspond to COMPONENTS                 Note  At least one parameter from each of the four lines above are required to define materials for Monte Carlo implants     Parameters Related to REFLOW Calculations    REFLOW specifies that the material will flow when a DIFFUSE step including REFLOW is defined           GAMMA REFLO specifies the surface tension parameter used in the reflow calculation  Units are  dyne cm  The material viscosity  VISC   parameters  will also affect the reflow rate     Parameters Related to the Grid Control    NO FLIP specifies that triangle flipping procedure should not be applied to the specified material   Parameters Related to the Boron Diffusion Model in SiGe SiGeC    NIFACT SIGE specifies the linear coefficient for Ge dependency formula of intrinsic carrier  concentration for Boron diffusion model in SiGe SiGeC     EAFACT SIGE specifies the linear coefficient for Ge dependency formula of intrinsic carrier  concentration for Boron diffusion model in SiGe SiGeC     NIFACT SIC specif
57.   calibration so this can allow the interstitials to diffuse 10 um along the surface from both the source  and drain ends without effecting diffusion near the center of the device  In summary  tuning THETA  0  involves the comparison of modeled and measured threshold voltage data for a long gate length device           THETA  0 can be rapidly tuned by taking a one dimensional  1D  vertical cutline through the center of    the gate and doing a 1D process simulation  You can either tune THI       ETA 0 manually or by using the    Optimize function in DECKBUILD  Theta  0 is tuned until the measured and simulated data of the long    channel threshold voltage correspond  The fine tuning of THI    simulation        ETA 0O is performed by using a full 2D    Figure 2 34 shows a typical dependence of extracted threshold voltage on the Theta 0 tuning    parameter  Realistic values of THI  curve is due to rounding errors in the 1  to the automatic and independent mesh generated in the             ETA 0O correspond to the rising part of the curve  The glitch in the  EXTRACT statement used to calculate the threshold voltage due  EXTRACT statement  The mesh can be    changed from its default value shown here to eliminate this effect  But close examination reveals that  the error is only a few millivolts off  which is accurate enough for most process parameter extractions        TonyPlot V2 6 6    File    View    Plots  Tools    Print    Properties    Help 7                gt        g      
58.   e C  and Co are the particle concentrations in the immediate vicinity of the interface in the  regions 1 and 2     e hj is the interface transport velocity     e M39 is the segregation coefficient     The transport velocity and segregation coefficients are temperature dependent parameters defined  through the following Arrhenius expressions           TRN E  hy   TRN 0   exp  ZRN E  3 13  12 exp kT  M    SEG 0  exp  EE 3 14          You can specify the parameters  TRN 0  TRN E  SEG 0 and SEG E in the IMPURITY statement  All  parameters are specified for only one direction  which is from region 1 to region 2                       The following is an example of the syntax used to change the segregation coefficients between oxide  and silicon  Two material names separated by a   to indicate the combination and the ordering of  materials for which these parameters are specified        IMPURITY I PHOSPHORUS SILICON  OXIDE SEG 0 30 TRN 0 1 66E 7                   3 6 Silvaco    SSUPREM4 Models       Interface Trap Model  Dose Loss Model        You can simulate the effect of dose loss at silicon oxide interface by specifying the DOSE LOSS  parameter in the METHOD statement  This model is based on the theory that the dopant diffusing  through silicon oxide interface can be trapped into the trap sides located at the interface  10    11   A  modified equation for impurity flux is used in the Dose Loss Model        Fi g S C_ 1 B Cr Crmax   MnCr  3 15    where m 1 2 correspond to Si and
59.   http   www silvaco com     Question     Simulating the whole process in ATHENA may take a long time  How can the process flow be checked or  tuned quickly     Answer     Several methods are available in ATHENA that enable you to do quick look and see simulations of a  complex process flow  Deciding which method to use in a given situation depends on the particular  items of interest  Three modes that can be useful are outlined below     1  1D Mode   This is used to perform 1D analysis at any x location in the 2D structure  This mode  can be invoked from the ATHENA Mesh Initialize menu  Figure 2 10  by selecting the 1D box  under Dimensionality  The X Position item of the menu will become active  so you should  choose the x location at which the 1D analysis will be performed  These changes in the menu will  add two parameters  ONE D and X LOCAT  lt real gt   to the INITIALIZE statement  ATHENA  automatically takes into account all masking and etching steps at the specified location  This  mode is particularly useful for optimization and process tuning  For example  it can be used to  rapidly check MOS source drain junction depth or the intrinsic base profile of a BJT          2  Geometrical Mode   In this mode all impurities are turned off by checking the No Impurities  box in the ATHENA Mesh Initialize menu  This will add the NO  IMPURITY parameter to the  INITIALIZE statement  disabling all implantation and dopant diffusion steps  Impurity  diffusion  which usually limits 
60.   lt n gt                                 Description    This statement specifies the aberration coefficients in the power series expansion of the wave  aberration function  Each coefficient is entered in fractions of a wavelength in the range 0  lt  C  lt  0 5     X FIELD and Z FIELD define or change the position in the image field for which the irradiance  distribution is to be computed  Note that the position is expressed in fractional field coordinates  so  that the values for the x and z directions vary between  1 0 and 1 0     SPHERICAL specifies 0  40  the amount of third order spherical aberration present in the power  series expansion of the wave aberration function of the optical projector     COMA specifies 1C31  which is the amount of third order coma present in the power series expansion  of the optical projector     ASTIGMATISM specifies 2C22  which is the amount of third order astigmatism present in the power  series expansion of the optical projector     CURVATURE specifies 2C20  which is the amount of third order field curvature present in the power  series expansion of the optical projector     DISTORTION specifies 3C11  which is the amount of third order distortion present in the power  series expansion of the optical projector     FIFTH  SEVENTH  and NINTH specify the aberration order  Coefficients for only one aberration  order can be specified on a single statement     C1  C2  C3  C4  C5  C6  C7  C8  C9  C10  C11  C12  C13  C14  C15  C16  C17  C18  C19  
61.   yA  ye   ki  vA a 3 99    Frenckel Pair Recombination    During annealing  many of the interstitials and vacancies recombine either at the surface or in the  bulk  The driving force for this reaction is to change both interstitial concentration      and vacancy    concentration V    toward their equilibrium concentrations i s and Ven   Moreover  it is clearly    shown that defect recombination strongly depends on the impurity concentration        Silvaco 3 29    ATHENA User s Manual       The following reaction is also considered     kr  V     v     0  3 100  kvr    The recombination rate can be written as follows     cs sea  ihe ler aa 3 101  Here   nS  keu   dz      a i  a 3 102  S  and  k     47a D    Dy   3 103    where ag 2 35  A is the distance between two separated silicon atoms in crystal     Bimolecular Recombination    As an alternative to the direct recombination of point defects in reaction of Equation 3 100  it is also  possible for J and V to recombine through reactions such as    Kv  Nae  aV     SA       SA  kav  kar   v   3 104    In these cases  the annihilation of the Frenckel pairs implies a dissociation of a dopant defect pairs   Recombination at the Surface    Understanding of the mechanisms that determine the interaction of interstitials and vacancies with  the interfaces is getting more important  because the implantation energies of dopants and the  temperatures for the thermal treatments become lower and the devices are fabricated closer to the  sur
62.  0    ccc eee eee eee 6 4  6 2 2  Structure and Mesh Manipulation Statements              0    cece eee eee eens 6 4  6 2 3  Simulation Statements  lt 3  ise   bec beret bewie beat eeld bedbeeet hoist iii e di ewks edt 6 5  6 24  Model StAleMONIS 6 ata we ek terre the aed baarre ses es bebe edel ert al eee r G 6 5  6 2 5  Special DECKBUILD Statements        n on tack tamed cps aia seis eso e ake erase eas 6 6  6 2 6  Post processing StAteMeNIS   x27 dance ud oa Pa ieee Gare a wer seeeati doe nh Dea achexed yaks de 6 6  6 2 7  Execution Control Statements   229 22 s is aetaveed dee ehentowdald Dies seat ass ge Aeneid 6 6  6 2 8  Obsolete Slatements  o 23 5005 eoriet a e n 26 ices Sale E a Gee tae een tas 6 6  6 2 9  Standard and User Defined Materials             0 0 ccc cece e eens 6 7  6 2 10  Standard Impres ins Sanco Cee dae Mens aks Cart et Ee et Ra ad ae eae  a oes 6 8  6 3  ABERRATION 22 25 i3v se teneugaieSe sa dee yad soe tvenew aes Geaee domes ENE Cares ene wee 6 9  64  ADAPT  MESH oc occ ctuue ont or EnA EEEE A EREET seated EERE DESAN voceweumebe ca oy 6 11  6 5  ADAPT PAR Ari cise ope ieee eh pac ew bY Ree oe ao Sees Sees 6 12  6 67 BAKE ie ida ee ented oh Ua we cee eee Vice nee aw eared EE Umea ee eee teh Gore Lee 6 14  6 7  BASE  MESH  ii0852e Geue Ml cet ive ae tee cedar we dwiaged See ade suet seaeddeseuseetewies 6 15  6 8  BASE PAR cc ironies nianna orian E aa DADE E eGo ewereaeetee eds EE Se OE DADEA ss 6 16  GOP BOUNDARY i raaa A D E EE AEA ne aia I AEA DA E
63.  04 3E15  0 06 1 5E15  Or 1 7E15  0 2 9E15  0 4 2 6E15             In the following example  the PROFILE statement will read in a 1D Silvaco   s standard format  SSF   file  All doping and layer information will be preserved  This allows you to start a simulation in  for  example  SSUPREM3 and finish it in ATHENA  The ATHENA grid must be set up in the conventional  manner first  The PROFILE statement will then include any overlying layers that may have been  deposited or grown in creating the SSUPREMS3 structure  The value LAYER lt n gt  DIV controls the  number of grid points in the overlying layers  The default grid spacing generated for overlying layers is  0 05 um                 PROFILE MASTER INF SSUPREM3 STR LAYER1 DIV 3 LAYER2 DIV 6                         Silvaco 6 81    PROFILE ATHENA User s Manual       The first layer above the substrate will have 3 vertical grid spacings and the second layer above the  substrate will have 6 vertical grid spacings  The file SSUPREM3   STR must be a SSF file        The following is a list of special cases and their solutions     e If a SSUPREMS structure is deeper than the ATHENA structure  the PROFILE statement will  extend the value of the bottom grid point     e Ifa SSUPREMS structure is shallower than the ATHENA structure  the PROFILE statement will  clip the ATHENA profile     e Loading a SSF file works only with a bare silicon wafer as a starting point  If you try to use some  other material for a substrate  the results are u
64.  1 SPAC 0 1  LINE Y LOC 0 00 SPAC 0 03  LINE Y LOC 0 2 SPAC 0 02  LINE Y LOC 1 SPAC 0 1                The first line  GO ATHENA  is called an autointerface statement and tells DECKBUILD that the  following file should be run by ATHENA        Defining the Initial Substrate    The LINE statements specified by the Mesh Define menu set only the rectangular base for the  ATHENA simulation structure  The next step is the initialization of the substrate region with its  points  nodes  triangles  background doping  substrate orientation  and some additional parameters  To  initialize the simulation structure  select ATHENA Command Menu   Mesh Initialize    and the  Mesh Initialize Menu will appear  see Figure 2 10       Deckbuild  ATHENA Mesh Initialize       Material   7  Silicon    Orientation   Impurity    Antimeny Arsenic Phosphorus  silicon zinc Selenium Beryllium  Magnesium   Aluminum Gallium Carbon    Concentration    By Concentration   By Resistivity  30 1 0      9 9 Exp  E  14 atom cm3    Dimensionality    Aute   10 Cylindrical     Pasifibii                         Grid scaling factor  1 0 1 0    r    Campasifion frackign  GEC hit   No impurities  L     Comment  Initial silicon structure  WRITE       Figure 2 10  Mesh Initialize Menu    Background doping can be set by clicking on the desired impurity box  e g   Boron   The background  impurity concentration specification will then become active  If the None box is checked  the  concentration information will become inactive
65.  3 58  Assuming that Equation 3 58 is always in equilibrium  the following equation describes the  relationship between the chemical and the active arsenic concentration        Ci ee tO  Se Nee  2   a  3 59  chem act clust act TN n        Since there is a cyclic dependency between the active arsenic concentration  C     and the carrier   concentration  n  an initial guess for the value of n has to be made at the start of the simulation  In    addition  C   Cc  is  by definition  set to unity when running the Fermi Diffusion Model  see Section  3 1 2     The Fermi Model         The clustering coefficient  Cry  is temperature dependent according to the following equation          CTN E    i  Cry   CTN  0exp   NE  n  3 60       Here  the CTN 0 and CTN E parameters can be defined in the IMPURITY statement     You can specify CLUSTER ACT  CTN 0 and CTN E parameters for other acceptors in the IMPURITY  statement  But be aware the model isn   t elaborated for other impurities and these parameters are  unknown  The model can also be empirically used for acceptors  e g   indium   The following equation    is based on acceptor interstitial clusters with empirical parameters CTP   0 and CTP E     2c  2 Baye S  Chem Cail  i CoP  GF     a    The Semi empirical Activation Model  based on Table B 14 in Appendix B     Default Coefficients      which is used for all other dopants except arsenic  uses a two step scheme to calculate the active  dopant concentration              1  The program i
66.  30 times the concentration of phosphorous in oxide  at equilibrium           IMPURITY I PHOSPHORUS SILICON  OXIDE SEG 0 30 0 SEG E 0 0                      The following syntax sets the temperature dependent impurity activation of Indium in Silicon        IMPURITY I INDIUM SILICON SS TEMP 800 SS CONC  lt VAL1 gt  SS CLEAR  IMPURITY I INDIUM SILICON SS TEMP 900 SS CONC  lt VAL2 gt   IMPURITY I INDIUM SILICON SS TEMP 950 SS CONC  lt VAL3 gt                                Note  The transport and segregation coefficients  TRN   0  TRN E  SEG 0  and SEG  E  are known to be inaccurate for  some values of concentration  material combinations  and temperature ranges  If the simulation is inaccurate  consider these  coefficients for calibration                          For more examples  see DIFFUSE  METHOD  INTERSITITIAL  and VACANCY                                      Silvaco 6 51    INITIALIZE ATHENA User s Manual       6 30  INITIALIZE    INITIALIZE specifies the initial starting material and background doping levels  LOADFILE  synonym for this statement                    4  a    isa                Syntax       INITIALIZE                MATERIAL   ORIENTATION  lt n gt    ROT SUB  lt n gt    C FRACTION  lt n gt    C IMPURITIES  lt n gt  RESISTIVITY  lt n gt    C  INTERST  lt n gt    C VACANCY  lt n gt    BORON   PHOSPHORUS   ARSENIC  ANTIMONY   NO IMPURITY   ONE D TWO D AUTO  X LOCAT  lt n gt    CYLINDRICAL   INFILE  lt c gt    STRUCTURE   INTENSITY   S  D                       
67.  6 1  SSUPREM4    Diffusion Simulation Features    1     Time for diffusion and epitaxy can be specified in seconds  minutes or hours  New parameters   SECONDS  MINUTES  and HOURS are added to the DIFFUSE and EPITAXY statements  MINUTES is  the default     Diffuse time output is now presented in a new standard  Total time is in hours minutes seconds   hh mm ss t  and time increment is in seconds                          The POLY DIFF diffusion model can be now applied to Poly regions formed during Si deposition  over non silicon surfaces using the SI_TO_POLY parameter  see below      Implant Simulation Features    1     Now the damage in BCA model is calculated strictly using the modified Kinchin Pease damage  model  The Damage Amorphization model  Implant Damage  is based on the concept of critical   energy density model  while the damage generation rate  vacancies and interstitials  is based on  the modified Kinchin Pease model  The energy dependence of lattice disorder is analyzed with  respect to spatial density of deposited energy  substrate temperature and ionization events     The statistical sampling method is introduced for BCA ion implantation simulation  This method  increases statistics for low probable events  which results in better quality of ion implant profile  tails  Using the sampling method allows you to reduce calculation time between 5 and 100 times  without reducing statistical accuracy of resulted profiles  The method is switched on by using the  SAMPL
68.  81  6 45 PROJECTION  2 0  arini cd ee pecan D E DAD ETA E AAEE EEE AA LA DAt 6 83  6 46  PUPIL FILTER ii h ha e a A aa a ea eee a Aa 6 84  647  QUT oee a E e a a vent E EAA E a E E 6 85  6 48  RATE  DEPO  gereint e n aa ewe Ue a A a E N 6 86  6 49  RATE DEVELOP  seni rebar EEE ved DENE EPERE EE RAEE th REDEN DE LER DENER EA E PARA 6 88  6 50  RATE  DOPE e aa aa estat ie sie a E as Siew crea aot E a aA ga A aa ee 6 90  6 51  RATE ETCH ccs cnas i amn naa aai a E AA A A A AAE 6 91  6 52 RATE  POLISH niia A a a a a E O aa a A ee Mk aad ak 6 95  6 53  REGION ciei aana t a a a ENEA AO EE AEA AAAA a eee OAE AAAA RAAS 6 96  6 54  RELAX  e ia iA unaa a Meant oh ae AT AEE a A Wadia aaa A e ah 6 97  0 55  SELECT  ara ates seth A A AAEE EE AA E EAA A ee NA 6 98  000 SET enir EEEE EEA O EEA E E EAE E E OEE EE O ATEA 6 100  6 57 SETMODE 2 6  once lauans vel deeiexenbawetiies wide ena verem ten ese A 6 101  6 58  SILICIDE  2  cccctereeareuterscabeeeer ame aed Dae ieee eek EAA EA AE ERA 6 102  6 59  SOURCE vata cleat ra a a ge a a a are eee awed eae ae reas 6 103  6 60  STRESS ic  cvedarse caveat yneniie ee veneeediencretyoessitaedeseyakiese eee E aA 6 104  6 61  STRETCH arer iana tausews a eives GovedadaysS Hon tepee eaters a a ened 6 105  0 62  STRIP    chee ives bs AANE eran TANA EA aan  na autbea iota CE adh ewr EAE wan 6 106  6 63  STRUCTURE  a a a he a a E ses Ge sea E eG  pean eaten a aes 6 107  6 64  SYSTEM araia wend ih sens ce eat pratt cen th see aoe ts bho eee aeeme eats 6 109  
69.  87    RATE DEVELOP ATHENA User s Manual       6 49  RATE DEVELOP    RATE DEVELOP sets development rate and exposure bleaching parameters for each type of  photoresist in OPTOLITH                    Syntax       RATE   DEVELOP  NAME  RESIST  lt c gt    G LINE H LINE I LINE DUV LINE  LAMBDA  lt n gt   A DILL  lt n gt    B DILL  lt n gt    C DILL  lt n gt                                                E1 DILL  lt n gt    E2 DILL  lt n gt    E3 DILL  lt n gt           RMAX  MACK  lt n gt    RMIN MACK  lt n gt    MTH MACK  lt n gt    N MACK  lt n gt     RO  TREFONAS  lt n gt    Q  TREFONAS  lt n gt    RO HIRAI  lt n gt    RC HIRAI  lt n gt    ALPHA HIRAI  lt n gt    R1 KIM  lt n gt    R2 KIM  lt n gt    R3 KIM  lt n gt    R4 KIM  lt n gt    R5 KIM  lt n gt     R7 KIM  lt n gt    R8 KIM  lt n gt    R9 KIM  lt n gt    R10 KIM  lt n gt      C1l EIB  lt n gt    C2 EIB  lt n gt    C3 EIB  lt n gt     DIX E  lt n gt               R6 KIM  lt n gt   CO EIB  lt n gt   DIX 0  lt n gt                                      Description    This command sets the development rate parameters and exposure parameters for each type of  photoresist  These statements can be entered into the athenamod file  so that the parameters are  loaded each time ATHENA is started     NAME RESIST is the photoresist name for this set of parameters     G LINE  H LINE  LLINE  DUV LINE  and LAMDBA specify the wavelength for each set of  photoresist parameters     A DILL  B DILL  and C DILL are the A  B  and C constants
70.  A  T      0 for A  lt A sD    where A r is the concentration of the precipitate and    is the effective length of capture  The solid    A  ee 3 108   E   DAA Ag  A T       solubility A   T  is defined using Arrhenius expressions in the corresponding dopant  mod file     3 2 3  Interstitials Clusters Model  IC     Point defects in crystalline materials inherently have high free energy  Free interstitials in silicon are  thermodynamically unstable because of their unpaired electron orbitals and induced lattice strain  At  high concentrations  the interstitials clusters are formed to reduce free energy  Many of interstitial  cluster species have been observed for many years  e g    311  defects and dislocation loops   The  interstitial cluster configurations are believed to occur mainly in ion implanted silicon  The formation  and dissolution of interstitial clusters are simulated to correctly predict TED     To activate the interstitial clusters models  use the following statement     METHOD PLS IC       During a typical rapid thermal annealing  various type of clusters  small clusters   311  defects  perfect  and faulted loops  evolve according to a competitive growth mechanism named Ostwald ripening  The  driving force for this evolution is the reduction of the formation energy per interstitial of these clusters  as they grow in size and change their crystallographic structures     In IC model  a cluster containing n interstitials       evolve to a cluster of size n 1 by int
71.  AE 6 17  6 TOS CLUSTER oie e a Meets eae wand aed E E ee a ie A is ee me we NA 6 18  6 11  COMMENT isis act cd e A arte ah ee eater iat dk Dag eS Need tet ath a att irs 6 19  6 12  GPULOG iirinn aaa e GA ctor at xeatel eluate de a Like E E EA 6 20  6 13  DEPOSIT oa cet hare cat ite cacao a ape ame atta aa E A EA ue Sah nee ath a sien tia aces Seale he 6 21  6 14  DEVELOP is 2 hesitant wii Eae e A Mean i ania ctamene oe aude ean ke AAEE ANELA 6 24  6 15  DIFFUSE  cee irae ote an oie ty cea beer A a a E Geter E reeset ews 6 25  6 16  DISLOG  LOOP  rretan exe wise se weed seepenas eedawe tote te bisa ere ne ca a aN 6 28  6 17  ELECTRODE  lt ociccccsiiieactert reset evireecgeceasteewis eae ed EE AEE AEREA RT 6 29  6 18  EPITAXY aira cid betel caee ed eis  a liek pias eae tas oe eee eS 6 30  6 19  ETOH oriri coven en Dare Sete ve Reed Deck ee oF sien Ure eee Ve Ree Seve eee 6 32  6 20  EXPOSE eos  enaid a a deetedt el se ade gase ea a seul eee ties a e 6 35  6 21  EXTRACT casi ives g Leth atti e ee Mihaly EE et tale edad irate weal uals AAGA 6 37  6 22  FOREACH a cae dd et edad made ade sien DA Ea UTA meus cts EA AASE Ea EA aAA 6 38  6 23  GO icc elas weer aise ewes Da ie eae widen a ea ks te es i neers Mae iS wwe 6 39  624 IE Pe east Meany Dates eave a ea a ees tne trate a tate aa ata arate A een nate the late A eins een uated a Gate bere 6 40  6 25  ILEUM FILTER eee sec tod ne ad te path toby Nears taeda ed a E e oe Ha a E EA 6 41  6 26  ILLUMINATION a a a Gk eal A aia Say Case
72.  ALBl    lt n gt    MC ALB2    lt n gt    MC PLM ALB    lt n gt   MC NORM T1    lt n gt    MC NORM T2   lt n gt     MC LAT T1    lt n gt    MC LAT T2   lt n gt    MC ION CU1   lt n gt    MC ION CU2   lt n gt    MC PARTS1    lt n gt    MC PARTS1    lt n gt    MC ANGLE1  lt n gt    MC ANGLE2  lt n gt                                                         Description    This statement is used to define parameters and the machine name for one of four etch models  available in ELITE                 MACHINE specifies the machine name for the RATE ETCH statement     MATERIAL specifies material for which parameters of the etch machine to be applied  see Section  6 2 9     Standard and User Defined Materials    for the list of materials      NAME RESIST specifies the name of photoresist to be etched   WET ETCH RIE  PLASMA  and MC PLASMA specify a particular model for the machine definition   Parameters used for RIE and WET ETCH models    A H  A M  A S  U H  U M  U S  and N M specifies that the etch rates are in Angstroms per hour   Angstroms per minute  Angstroms per second  microns per hour  microns per minute  microns per  second  and nanometers per minute respectively     DIRECTIONAL specifies the directional component of the etching rate used by the RIE model  The  ionic etch rate is the contribution of the ions to the chemically oriented etching mechanisms  The ions  are assumed to have an anisotropic angular distribution specified by divergence parameter                       I
73.  ATHENA predicts the  physical structures that result from processing  These physical structures are used as input by ATLAS   which then predicts the electrical characteristics associated with specified bias conditions  Using  ATHENA and ATLAS makes it easy to determine the impact of process parameters on device  characteristics        Silvaco 1 3    ATHENA User   s Manual       ATHENA can also be used as one of the core simulators within VIRTUAL WAFER FAB  VWF   VWF makes it  convenient to perform highly automated simulation based experimentation  VWF is used in a way that  closely resembles experimental research and development procedures  Therefore  it links simulation  closely to technology development  resulting in greatly increased benefits from simulation use     For more information about VWF  see the VWF AUTOMATION  CALIBRATION  AND PRODUCTION TOOLS USER   S  MANUAL     1 2 2  The Value Of Physically Based Simulation    Physically based process simulators predict the structures that result from specified process  sequences  This is done by solving systems of equations that describe the physics and chemistry of  semiconductor processes  Detail analysis of various aspects of process simulation can be found in  1   and  2      Physically based simulation provides three major advantages  it is predictive  it provides insight  and  it captures theoretical knowledge in a way that makes this knowledge available to non experts     Physically based simulation is different fro
74.  Adaptive meshing     Process   Structure   File 1 0      Notes     Models    Templates     Extract     Farse Deck          paste   init      pause    clear J  restart   kill   Stop  None  ee ee eee eee eee ee eee       Thu May 11 17 05 32 1995    Executing an host  scorpio    B  ATHENA gt  a    ATHENA started ATHENA                   Figure 2 3  Commands Menu    Now  you can specify the initial rectangular grid  The correct specification of a grid is critical in process  simulation  The number of nodes in the grid N  has a direct influence on simulation accuracy and  time  A finer grid should exist in those areas of the simulation structure where ion implantation will  occur  where p n junction will be formed  or where optical illumination will change photoactive  component concentration  The number of arithmetic operations necessary to achieve a solution for    processes simulated  using the finite element analysis method could be estimated as  N     where a is  of order 1 5   2 0     Therefore  to maintain the simulation time within reasonable bounds  the fine grid should not be  allowed to spill over into unnecessary regions  The maximum number of grid nodes is 20 000 for  ATHENA simulations  but most practical simulations use far fewer nodes than this limit     To create a simple uniform grid in a rectangular 1 um by 1 um simulation area  click on the Location  field and enter a value of 0 0  Then  click on the Spacing field and enter a value of 0 10  Then  click  on the
75.  Boron Transient Enhanced  Diffusion in Silicon by Substitutional Carbon Incorporation     Japanese  of Appl  Phys   v  90  p  1768   2001    R Jewett  A String Model Etching Algorithm  M  S  Thesis  University of California  Berkeley  1979     W G Oldham et al      A General Simulator For VLSI Lithography And Etching Processes  Part II    Application To Deposition And Etching     IEEE Trans  on Electron Devices  v  ED 27  p  1455 1980     A R Neureuther  C H Ting  and C Y Lin     Application of Line Edge Profile Simulation to Thin film  Deposition Process     IEEE Trans  on Electron Devices  v  ED 27  p  1449  1980     A R Neureuther     Basic Models And Algorithms For Wafer Topography Simulation     Problems and  New Solutions for Device and Process Modeling  Ed  J J H  Miller  Boole Press  Dublin  p  99  1985     A R Neureuther     Algorithms For Wafer Topography Simulation     NASECODE IV  Dublin  Ireland   p 58  1985        BIB 4 Silvaco    Bibliography       88  SAMPLE User Guide  Department of Electrical Engineering and Computer Sciences  UC Berkeley   1991     89  M  Sikkens  et al   Opt  Eng   v  25  p  142  1986     90  R N  Tait  T  Smy and M J  Brett    A Ballistic Deposition Model for Films Evaporated Over  Topography     Thin Solid Films  v  187 p  375  1990     91  R N  Tait  S K  Dew  T  Smy and M J  Brett    Ballistic Simulation of Optical Coatings Deposited Over  Topography     SPIE Proc  v  1324  p  112  1990     92  R N  Tait  T  Smy and M J  Brett    Simu
76.  C s   Experimental data are from  29      The result obtain with the full PLS model is in good agreement with experimental data  As expected   most of the arsenic at concentration above the solid solubility limit precipitate quickly and  consequently immobilize the dopant        Silvaco 3 43    ATHENA User s Manual       3 3  Oxidation Models    The fabrication of integrated circuit microelectronic structures and devices vitally depends on the  thermal oxidation process for the formation of gate dielectrics  device isolation regions  spacer regions   and ion implantation mask regions  Particularly  the precise control of silicon dioxide thickness as  device geometries continue to scale to sub micron dimensions     In SSUPREM4 silicon thermal oxidation is modeled when a DIFFUSION statement contains a DRYO2   WETO2  F 0O2  or F H20 parameter  Oxidation takes place when there is an interface between silicon   or polysilicon  and silicon dioxide or a silicon  polysilicon  surface is exposed to an oxidizing ambient   SSUPREM4 simulates polysilicon oxidation in a very similar manner as silicon  almost all oxidation  parameters for polysilicon are the same as for silicon   SSUPREM4 also allows oxidation completely  through a silicon  polysilicon  layer  This is very important in processes  e g   poly buffered LOCOS  in  which polysilicon regions are completely consumed during oxidation        Because exposed silicon surfaces usually have a thin native oxide layer  SSUPREM4 automati
77.  CLI       KAR  FILT           ER parameter is used to remove all pre existing filters and sources        2 78    Silvaco    Tutorial          N Sigma  0 6    Figure 2 63  Annular Source    2 9 4  The Projection System    The Projection System is defined using two statements  PROJECTION and PUPIL FILTER  The  PROJECTION command is used to define the numerical aperture and flare of the projection system  The  PUPIL FILTER command describes the shape of the projection system and the possible filters of the  projection system  The shape of the projector pupil can be square or circular  The circular pupil has the  option of having a Gaussian or anti Gaussian transmittance profile  Filtering of the Fourier spectrum  can be performed by using annular filters  The filters have a multiplicative effect on the transmittance  and phase in the projector pupil  The following example creates an opaque square at the origin                    PUPIL FILTER SQUAR  PUPIL FILTER SQUAR                   m  E  m  E     INNER RAD 0 0 OUTER RAD 0 1 TRANS 0 0             This creates the following projection pupil  Figure 2 64                           4    Figure 2 64  Projection Pupil       Silvaco 2 79    ATHENA User s Manual       The maximum extent of the projector pupil plane is  1 or  1 in both dimensions  A filter exceeding  these dimensions will be ignored and a warning will be issued     2 9 5  Imaging Control       The image calculation is done by the IMAGE command and its associated param
78.  DDC  and SS specify advanced diffusion models  see Chapter 3 3 2     Advanced Diffusion  Models      If only the PLS parameter is specified  the classical dopant diffusion model will be used  The  parameters IC and VC will invoke additional interstitial and vacancy clustering models  The DDC  parameter switches on the dopant defect clustering model  The SS parameter will include the solid  solubility model        Note  These advanced diffusion models can be used only for boron  phosphorus and arsenic in silicon technologies Also  these  models cannot be used when oxidation or silicidation or both occur during the simulated diffusion step  There are also some  limitation on complexity of the 2D structures  which can be handled by the solver  In most cases  when the solver cannot handle  the structure  materials  impurities or other conditions  it returns control to standard diffusion models     CLUSTER DAM specifies that the Stanford  311  cluster model is enabled  allowing a scaled profile of   311  clusters during a subsequent implant  Only use this model when FULL CPL is also specified  It  further causes a transient dissolution of the  311  clusters leading to bulk interstitial injection  The  CLUSTER statement is used to set parameters for this model        Note  For correct operation  set METHOD CLUSTER DAM FULL CPL before the IMPLANT statement that generates  the  311  clusters           HIGH CONC specifies that extra dopant concentration dependent point defect recombi
79.  DU  Mtx y    Rmin 5 41  a  1 M x y    n 1 n  a     1 My  5 42    where the parameter n is a selectivity parameter describing the sensitivity of the developer to the  exposed photoresist  The M   parameter is the threshold PAC concentration  The R      parameter is    the development rate of a completely exposed resist  The parameter Rmin is the development rate of  totally unexposed resist     5 6 4  Trefonas    Development Model    The Trefonas development rate model  114  requires only two parameters     R x  y    Ry  1  M x  y    5 43  where R    is the development rate for unexposed photoresist and q is sensitivity     5 6 5  Hirai   s Development Model    The development rate model by Hirai  115  is very similar to the one by Trefonas  The rate function of  the Hirai model is given by    R x  y    R     1   M x  y      Re 5 44    where R    is the development rate for fully exposed photoresist  Rc is the rate for unexposed resist  material and a is a reaction constant        5 14 Silvaco    OPTOLITH Models       5 7  Proximity Printing    Alongside with the    standard    projection imaging simulation  OPTOLITH includes an additional  module for simulation of proximity printing  The proximity 1x1 printing  i e   the imaging without any  reduction lens  is used to print relatively big features of a micron scale  This method is still practical  and in some cases could be cost effective  Internally  projection and proximity printing modules use  different simulation techni
80.  E  GPOW 0  GPOW E  and VMOLE parameters can be specified in the  INTERSTITIAL and VACANCY statements        As a general rule  the ratio 0 Kpyr Ksgyppr  should be maintained reasonably constant during  calibration  The entities  v  and U _mq  have the same meaning as described for surface  recombination  The maximum interface velocity  Vi max   cannot be manipulated directly and will  change only when oxidation characteristics change     The TIME INJ parameter in the VACANCY or INTERSTITIAL statement activates the time dependent  injection model  It is defined as           T  Giy   Alt  t  3 43    where    is the total diffusion time in seconds  and A  t    and Tp ow are free parameters used for  calibration purposes and are calculated according to the following equations     A   A   A dexp  44  3 44  exp kT  T0   ty   T0 0exp  T2     3 45  0 exp kT  Pog TPOW dexp  POE  3 46    Table 3 5 shows all user specifiable model parameters for point defect boundary and injection  conditions        Silvaco 3 13    ATHENA User s Manual                                                                                                             Table 3 5  Parameters for specifying point defect boundary and injection conditions  Entity Pre exponential factor Activation Energy  Keourr KSURF   0 KSUREF  E  KRAT KRAT  O0 KRAT  E  Kpow KPOW  0 KPOW  E  A A O A E  to t0 0 tO E  Tpow TPOW  0 POW  E     HETA  O0 HETA  VMOLE VMOLE    Gpow GPOW 0 GPOW E  KSURF KSURF 0 KSURF E   Vacancies    The dif
81.  END statements for looping  The example below shows  the input language used to perform the loop     PRINTF ATHENA  gt  SWING   PRINTF 16 2 2  gt  SWING   PRINTF THICKNESS  gt  SWING   PRINTF CDS  gt  SWING   FOREACH J  0 1 TO 0 5 STEP 0 25    INITIALIZE INFILE ANOPEX15 STR   DEPOSIT NITRIDE THICK J DIV 1 MIN SPACE 0 01   DEPOSIT PHOTORESIST NAME RESIST 2Z2ZZ THICK 1 DIV 30 MIN SPACE 0 01  EXPOSE DOSE 150 NUM REFL 3 NA 0 FRONT REFL 1                                                                                                       BAKE DIFF  LENGTH 0 05   STRUCTURE OUTFILE ANOPEX15 J STR2   DEVELOP MACK TIME 45 STEPS 9 SUBSTEPS 10   STRUCTURE OUTFILE ANOPEX 15 J STR3   PRINTF J  Z222Z GAS 1 4 J    GAS 222 1 4   J    gt  SWING  D       This creates an output file called SWING  The first command writes the name of the framework  The  second command writes the number of rows  number of columns  and number of titles  see the  TONYPLOT chapter in the VWF INTERACTIVE TOOLS USER   S MANUAL  VOL I         The FOREACH statement signals the beginning of the loop  The END statement terminates the loop  J is  the parameter to be varied in the loop  In this case  it is the thickness of the nitride layer     The final PRINTF statement prints the data to the file  First  the thickness J  and then the CD at  y 1 4 J  In the DECKBUILD input file  enter the command        tonyplot  da SWING    and a plot of the swing curve will appear  This command can also be written in the input file aft
82.  For more examples  see INTERSTITIAL and VACANCY                       Silvaco 6 111    UNSETMODE ATHENA User s Manual       6 67  UNSETMODE    UNSETMOD unsets execution mode parameters defined in the SETMODE statement                          Note  When SET variable value is used in DECKBUILD  it is impossible to UNSETMODE the variable             Syntax       UNSETMODE   NOEXECUTE   ECHO                             Description             This command turns off the following execution mode parameters  The SETMODE statement allows you  to turn on the same parameters     NOEXECUTE puts all entered statements into a check only mode  If this flag is on  ATHENA will only  check the syntax of the input commands and not actually run them     ECHO instructs ATHENA to echo all input lines        Examples    The following turns off statement echoing        UNSETMODE ECHO                Note  UNSET is a synonym for this command                    Note  The parser does not recognize abbreviated forms of these commands  It requires that you enter NOEXECUTE and  ECHO verbatim                 For more examples  see SETMODE           6 112 Silvaco    Appendix A   C Interpreter          A 1  C Interpreter Overview    ATHENA has a C language interpreter  C Interpreter  that allows you to modify the models contained  in ATHENA  In order to use this capability  write a C language analytical function describing the  model  If yow   re not familiar with the C language  then we suggest that you r
83.  INDIUM is substituted by the I  INDIUM parameter in the IMPURITY statement                                     6 6    Silvaco    ATHENA Statements List       e LABEL  all plotting capabilities are now provided by TonyPLOT   e PAUSE is substituted by the Pause button in DECKBUILD    e PHOSPHORUS is substituted by I  PHOSPHOR parameter in the IMPURITY statement  e PLOT 1D   all plotting capabilities are now provided by TONYPLOT                e PLOT 2D  all plotting capabilities are now provided by ToNyPLOT    e PLOT 3D  all plotting capabilities are now provided by ToNYPLOT    e UNDEFINE is substituted by the SET capability in DECKBUILD    e VIEWPORT  all plotting capabilities are now provided by ToNyPLoT        6 2 9  Standard and User Defined Materials    Different materials can be specified as parameters in various statements  Both standard and user   defined materials are available in ATHENA  The generic name MATERIAL appeared in a statement  syntax description signifies that you can only specify one of standard material names from the list  below or user defined material  The generic name MATERIALS appeared in a statement syntax  description signifies that you can specify one or several standard and user defined materials                       The following shows the standard material names currently available in ATHENA     Semiconductors       SILICON  POLYSILICON  GAAS  ALGAAS  INGAAS  SIGE  INP  GERMANIUM  SIC_6H  SIC_4H   STC 3G                                       
84.  Insert button and the line parameters will appear in the scrolling list     Note  ATHENA coordinate system has positive x axis pointed to the right along the structure surface and positive y axis  pointed down to the depth of the structure        Silvaco 2 9    ATHENA User s Manual       In the same way  set the location of a second X line to 1   0 with a spacing of 0 1  You can either set the  values by dragging a slider or by entering a number directly     Now  select the Y direction and set the lines with the same values as the X direction  You can now add  the comments at the Comment line  The ATHENA Mesh Define menu should appear as shown in  Figure 2 4     Deckbuild  ATHENA Mesh Define    Direction     Location     Co    y loc 0 00 spac 0 1  Insert  y loc 1 spac 0      TED  F        Delete    Ww       Location  1 0 00  H _ 113 00    Spacing  0 1 5 00 Ta  1 00  Comment  Uniform grid        Figure 2 4  ATHENA Mesh Define Menu    You can now write the menu prepared mesh information into the input file  But first  preview the  rectangular grid by selecting the View    button and the View Grid window  Figure 2 5  will appear   Notice that vertical and horizontal grid lines are distributed uniformly  and the 121 points and the 200  triangles will be generated        2 10 Silvaco    Tutorial          121 points  200 triangles     Figure 2 5  View Grid Window    A uniform grid such as the one shown in Figure 2 5 is inefficient for performing complex simulations   Therefore  th
85.  LOOP le1l8 PHOSPHORUS  INTERSTITIAL SILICON DAMALPHA 1le8  IMPLANT PHOSPHORUS DOSE 1e15 ENERGY 120                            This model should only be chosen when either the Two Dimensional or Fully Coupled Model is also  used  The two things to apply to this model are as follows     e an implant has to create an amorphous layer    e immediately after the implant there is an anneal in a wet ambient    Even when these two criteria are met it is suggested to only apply this model when it is needed to  match experimental results     The Steady State Diffusion Model    The Steady State Diffusion Model is a variant of the two dimensional diffusion model  which assumes  the point defect profiles are in a steady state  It is turned on with the METHOD STEADY command                 Silvaco 3 15    ATHENA User s Manual       Important Notes about Defect Diffusion    Point defects have larger diffusivities than dopants and may therefore diffuse down to the bottom of  the structure during a simulation  If the simulation structure is too shallow  you may get an  unrealistic high defect concentration in the regions where dopant profiles are present and  consequently too much dopant diffusion  Therefore  you may need to extend the depth of the  simulation space to provide an adequate sink for the point defects  To determine the depth of the  structure  you can estimate the characteristic defect diffusion lengths using     I    DyAt 3 53    where Dy is the defect diffusivity and At is the
86.  METHOD PLS statement     Boron    In the case of boron  these clusters are named boron interstitial clusters  BIC   The BIC species B   Im    consists of n atoms of boron and m atoms of silicon self interstitials  In absence of any direct  experimental data concerning the exact composition of these clusters  BIC structure and charge states  are chosen according to recent ab initio theoretical calculation  18   Various possible path are  considered for these clusters  a given cluster can grow or dissolve by the addition or release of a silicon    self interstitial or a boron interstitial pair  Figure 3 2      a    Figure 3 2  BIC reaction paths  5                z                  2                       2                0                By default  the DDC model is based on the formation and dissociation of four BIC   s species  BIg  Bol   BI  and B43  Thus  the following reaction are added        3 34 Silvaco    SSUPREM4 Models       q Ket koa  BI   PSB   B    BI PB  3 120  ket  kor  Bale   ket  0  Bol   B   B L y B l  BI  gt  Bil   3 121  kB l  KB gls    By default  the model assumes that dopants just after implantation are inactive  As this model does  not assume any local equilibrium between each species  the activation of the dopant will gradually  evolve with time     The kinetic constants k  and k    for each reactions are defined as     D l BI  A   AR Dep ksi    67 n xp   a T aia 3 122    Rog and Ep B   Im  are respectively the effective capture radius and the bindin
87.  MTTYPE in the SILICIDE statement                 The modeling and understanding of silicide growth is nowhere near as developed as for oxidation  But   you can consider simulation of silicidation process similar to that of oxidation  It starts with insertion  of a thin  0 002 microns  initial layer of silicide on the boundary between silicon  or polysilicon  and  corresponding metal  During each time step  growth velocities are calculated for each point at both    metal silicide and silicon  or polysilicon  silicide interfaces  The growth velocity at the i  interface  point is calculated as follows     dx  n   aoe ORAA 3 172  dt ENS    where k  is the interface reaction rate coefficient  N 7  is the number of silicon or metal molecules per  unit silicide material  and C  is the silicon or metal concentration  n     is the interface normal vector    which points towards the silicon poly or metal side  Similarly to oxidation  this equation can be solved  by applying an initial boundary condition x    x    at t   0  The solution is    X  X        ttr 3 173  B B A    where parameters B    2D C   Nj  and B A    KC   N   are equivalent to Deal Grove    coefficients of classical oxidation model  The silicide growth data indicates that for most silicides the  rate limiting step is diffusion of silicon  This simplifies the Equation 3 174 to    x    Bt 3 174    The silicide growth rates parameters are extracted from experimental data for TiSi    41    42  and    CoSi2  43   For two ot
88.  Model    The Dill model  111  uses the parameters E   E9  and E3  Surface induction effects are not considered   The bulk development is given by     R x y    exp E   E  M x y    E   M x y   5 34    and for M x y   lt  0 4     5 6 2  Kim   s Development Model  The Kim model  112  describes the development rate through the function    d  R induction   l  1    R5  RK5   Rs  M x  Y z      exp  5 36  4  1  R y9        5 37  BD    T Ey   ME  R  R        Silvaco 5 13    ATHENA User   s Manual       M   x y    M x y    exp  R3U   M x  y    5 38  R x  y    Rinduetion    Reulk oa  R x  y    Ri juction    Reulk ot    where Rp    is the bulk development rate and Ry duction 18 the surface induction factor  The limiting  development rate values are R  and Ro respectively for completely exposed and unexposed resist  The  function R  nduction y  is an empirical relationship describing the reduced dissolution rate at the    surface of a resist layer and is a function of the normal distance from the original surface of the resist  d y  and the amount of remaining PAC  M x y   The parameter R4 is the characteristic length along    this path for the induction effect  The parameters Rs and R   are respectively the ratio of the surface    rate to the bulk rate for a completely exposed resist  and the ratio of surface rate to bulk rate for an  unexposed resist     5 6 3  Mack   s Development Model  The Mack model  113  describes the development rate through the function       n  R x  y    ee at  
89.  Neutrals            seceeeseeeeeeneeeseeeeeeneeeees 4 15  lon and Neutral Fluxes   00 0    eeeeeeesseeeeeeeeeseeeseneeeees 4 15 17  Polymer FIUX S    lt   cccsecscescsecietoranacenerasoessescneayeseerenaaieeess 4 17  Rates  nivenr aerate ath alee ees 4 18  Monte Carlo Implant Models  Amorphous Material            esccesssesesseeessneeeesneeereees 3 84 85  C Interpretet E A TETA 3 89  Cluster Model imen tena r e 3 88  Crystalline Material            ceeceescesseeeeeeeeseeteaeeteeeeeaeeeaees 3 85  Damage Accumulation Model            22    cceeeseeseeeeeees 3 81 82  Dislocation Loops Model               ceeseeeeesreeeseeeeeeneeeees 3 88 89  Electronic  Stopping siiv scsieslecs nian nate ec eas 3 80 81  Implantation Geometry          eeeeeceeeeeeeeeeeeeeeeneeteeeeeaeens 3 82 84  Interatomic Potential              ceccecceseseeeesceeseneeeeseeeeeneenees 3 79  lon Implantation Damage              eeeceeesseeeeeneeeesneeeeeneeeee 3 87  Nucl  ar Stopping ersi atid A eatnes 3 77 79  Physical Problems           escceessceeeeeeeeeneeeeeneeeesneeeeeneeeees 3 77  PluS 1  Model  sececcsstcccscte tusteaShsoseeesticasiesdepiacageseteress 3 87 88  Statistical Sampling           c  ceeceeeceeeeeeeeeeeteeteeeeeeeesees 3 85 87  OTO POEA ETA TT epee eae ele 3 77  Monte Carlo Implant Module           ccceeeeeesteeeeeeeeeeeteeteeeeeee 6 45    MOSFET Process Flow  Gonesse Mek A ea ee 2 47  INputest eet its ee ee ee 2 42 43  PMOS TUNING seerne Aes eects  valde 2 46  Predictive Powers of Tuned Proce
90.  Oxide    Implantation Through Thermally Grown Oxides and Dopant Loss During Subsequent Annealing    Frequently  dopants are implanted through thermally grown oxide layers  It is important to have a  proper grid spacing in the oxide through which the dopant is implanted for two reasons  First  this will  aid in determining the proper dopant profile in the oxide layer and the underlying silicon  Secondly   proper gridding is required to resolved the dopant diffusion in the oxide during subsequent processing  steps    During annealing  the dopant will diffuse in SiO   and silicon and eventually evaporate into the  ambient at the gas SiO   interface  If proper gridding is not supplied in the growing oxide layer  the  amount of dopant evaporating can be underestimated  yielding a larger dose retained in the silicon    substrate  The mechanism is similar to what was described in the earlier sections  There may not be  any grid points in the interior of the growing SiOz  layer  The problem is again remedied by specifying    more grid layers to be added as the SiO   layer grows        3 60 Silvaco    SSUPREM4 Models       Figure 3 18 shows a comparison of the resulting arsenic profiles in silicon using the default grid  spacing and a corrected grid spacing in the growing SiOz   layer  For this experiment  a silicon dioxide    layer was thermally grown  Arsenic was ion implanted through the SiO  Silicon structure  A  subsequent annealing step followed which results in the profiles sh
91.  PLS  in the  METHOD statement        Parameters Related to File Output    DUMP and DUMP PREFIX specify that a structure file be output at every DUMPth time step  The  files are readable with the STRUCTURE statement or can be displayed using ToNYPLOT  The names will  be of the form DUMP  PREFIX lt time gt  str  where  lt time gt  is the current total time of the simulation in  minutes     TSAVE and TSAVE MULT specify that intermediate structure files be output when the advanced  PLS diffusion model is used  The structure files named DUMP  PREFIX lt time gt  str will be output    at time   TSAVE   TSAVE MULT   k 0 1 2     where time is in seconds  The default value for the  parameter DUMP   PREFIX is    at     The parameter TSAVE MULT should be greater than 1 0                                      Parameters Related to the Model Files for Advanced Diffusion Models    B MOD  PMOD  AS MOD  IC MOD  and VI MOD specify direct paths to boron mod   phosphorus mod  arsenic mod  i mod  and defect mod files correspondingly  By default   these files are in  SILVACO lib athena  lt version_number gt  common pls directory  You can modify  your own   mod files inside directories specified by these parameters     Miscellaneous Parameters    NO DIFF specifies that impurity diffusion be neglected during the calculation  This can be used to  observe oxidation or silicidation geometry without unnecessary timesteps related to impurity diffusion    REFLOW specifies that a surface tension based refl
92.  Point  Defects pinipan aaeeea ui oen Ea 3 26 27  ATHENA Features and Capabilities             ceeeeeeteseeeeeneeeees 1 2 3 CNET Generation Recombination Terms  ATHENA Input Output          c  cccccscccsccsecssesseceecsecssessesseessnenee 2 7 Formation of Pairs           2   cecs sstscssegecugbeatanteisstiseuesaiess 3 29  pit hs etna neh S 2 7 Frenckel Pair Recombination           sesssseeessseeenseee 3 29 30  ON EEEIEE ste E AE AAT 2 8 Compound Semiconductor Simulation  Standard Structure File Format SSF              escesseeeseeeeeeeeee 2 8 Diffusion Models            e ceesseeeeeneeeeeseeteseeesseeeeenetereaes 3 93  ATHENA OPTOLITH lon Implantation Models            c eee eee eee teeeeeeeeeeees 3 94  CD Extraction  Smile Plots  And Looping Procedures         2 84 85 Concentration Jump Condition 0 2    eeeeeeeeeeeeeseeeeeeeeeeeeeeeeneees 3 4  Illumination SYStOM         ssssssssceeeesessesereseeseeteanesn 2 77 79 Continuity Equation ase cetecile  elaa ian Mele  AG Ta ed lea rate 3 2  Imaging GCOntOl Sch ee icestert a aa keeled 2 80 82 See also Diffusion Equation  MASK   s   211   NEET A ARE 2 74 77 Correct Substrate Depth Modelling  Material Properties    s sssssssssseressererrerirrertnrerrnrerrnrerenrerenne 2 82 Diffusioma e ea tO a a a A ea betes 2 37 38  Projection SyStem           sssssssssiseseseeeessssssannteeeeten 2 79 80 fomnlmplantalions    20vac5it Ai aA he NS ix 2 34 36  Structure Exposure          cesceesceeseeeeseeeseeteteesseeeeaeetaees 2 82 84  ANONaMIMp     
93.  See this  section for advice on selecting the appropriate pull down menu from DECKBUILD     The default method for oxidation is Compress  In SSUPREM4 examples there are a number of  examples which illustrate the use of different models for different processes and structures     In our previous example described in the    Simulating Diffusion    Section on page 2 37  if the next  temperature step is going to be at a constant temperature of 1000  C in dry O2 with 3  of HCL in the  ambient  select the Dry O2 box and set HCL  equal to 3 in the Ambient section of the Diffuse menu   The following input file fragment will appear       GATE OXIDE  DIFFUSE TIME 60 TEMP 1000 DRYO2 PRESS 1 00 HCL PC 3                         If the ambient is a mixture consisting of more than one oxidant  the total oxidation rate will depend on  the combined effect of all species in the ambient  To specify the contents of the ambient mixture  select  the Gas Flow button in the Ambient section and an additional ATHENA Gas Flow Properties Menu   Figure 2 31  will appear     Gy Deckbuild  ATHENA Gas Flow Properties    H2 Flow  lfm   0 0 o0  i 200 0  H20 Flow  l m   5  WAOE    _                200 0    HEI Flow  sccm   0 0 w    _   _       1000 0  N2 Flow  lfm   f     CH 2700 0  02 Flow  lfm     0  a M 2700 0       Figure 2 31  ATHENA Gas Flow Properties menu    If the Gas Flow components are selected  as shown in Figure 2 31  the following statement will be  generated     GATE OXIDE  DIFFUSE TIME 60 TEMP 
94.  SiO2  Mm   Cmss CTmax M12   M1 Mo  and S    10S9  The Cr  parameter is the real density of occupied trap sites at the interface and is found by solving the  following equation     i es Fe op 3 16  or    where Cymax   6 8 x 10 4cm   for phosphorus and 2 x10 4cm   for other dopants  The dose loss    transport coefficient S4 is calculated through the following Arrhenius expression     S      TRNDL O  exp Ene    1 kT    where the TRNDL O and TRNDL E parameters can be specified in the IMPURITY statement     3 1 4  The Two Dimensional Model    In this model  the point defect populations are directly represented and evolved in time  If there is a  super supra saturation of point defects  it will affect the dopant diffusivity through a simple scale  factor  which goes to unity as the actual defect concentration approaches the equilibrium defect  concentration  Therefore with equilibrium defect profiles  the Two Dimensional Model merely reduces  to the Fermi Model  although in a more computational inefficient manner  since solving for point  defects is not required  The pair coupling between defects and dopants in this model is assumed to be  one way  The diffusion of dopants is highly influenced by the diffusion of point defects  while the  diffusion of the point defects  however  is regarded as totally independent of dopant diffusion  Stated in  physical terms  this corresponds to a pairing between defects and dopants with zero binding energy        To turn on the Two Dimensional M
95.  a solution created by ATHENA     e DEVEDIT to generate an updated mesh and export the mesh and doping back to ATHENA or any  other simulator     For more information on structure files  see    Saving a Structure File for Plotting or Initializing an  ATHENA Input file for Further Processing    Section on page 2 28     2 3 3  Creating An Initial Structure    This section will describe how to use DECKBUILD   s Commands menu to create a typical ATHENA input  file  The goal of this section is not to design a real process sequence  but to demonstrate the use of  specific ATHENA statements and parameters  as well as some DECKBUILD features  to create a realistic  input file  You can find many realistic process input files among the examples and use them as a  starting point in your process simulation     Defining Initial Rectangular Grid    Once DECKBUILD is running and the current simulator is set to ATHENA  see the VWF AUTOMATION   CALIBRATION  AND PRODUCTION TOOLS USER   S MANUAL for more information   open and pin the Commands  menu as shown in Figure 2 3  Then  select Mesh Define     and the ATHENA Mesh Define Menu will  appear  We recommend that you pin this popup because it will be used often in designing an initial  mesh        2 8 Silvaco    Tutorial       Deckbuild   3 5 3 Beta      NONE   dir   tmp_mnt main lucky stacy    i File 7   View v  CEdit 7    Find 7  Main Control 7  Commands 7  i Tools 7   CA    ne ATHENA     Mesh Define    Mesh Initialize                       
96.  and grid information generated by MASKVIEWS   Press the Preview button and the Display Masks Window will appear as shown in Figure 2 52     AS  Maskviews   ATHENA cutline    1 Y1 K2 Y2  2 30 12 10 4 90 12 19    Depth  1 00 microns       Figure 2 51  ATHENA Cutline Popup    2D masks from 2 3 12 1 to 4 9 12 1    L    fo ots tho 125 150 1 45 zbo       Figure 2 52  Display Masks Window       2 68 Silvaco    Tutorial       The additional information on the number of lines  points  and triangles is also displayed in this  window  If the grid does not appear as shown in Figure 2 52  select the Options Grid box and the  Display Masks box in the Properties    menu  Figure 2 53      ns Maskviews   Properties    Category defaults  Angle constraint   None  45  amp  90   90      Options Wi Grid Wi Hints    Pointer       Center origin L  Display labels fy    Display masks W      Simulator  7  ATHENA Cutline edit Wi    Write Y grid Wi Request filename       Group buffer   all layers   edit layer only  File loader   list files only   add directories  Cutline preview   show line only          Figure 2 53  Properties Menu    To select another cutline location  press the Done button in the Athena Cutline Popup and repeat the  cutline selection process for the desired cutlines one at a time  If you   re not satisfied with the grid  you  can modify the X    or Y    or both settings  You can then preview the modified grid without selecting  another cutline  For example  if the Spacing at edge in 
97.  and will appear grayed out from the rest of the menu   Select the desired concentration using the slider  e g   3 0  and select an exponent from the Exp  menu   e g   14   This will give a background concentration of 3 0e14 atom cm3  You can set background  concentration using the By Resistivity specification in Ohmecm  For this tutorial  check the 2D box  in the Dimensionality field  This will run the simulation in a two dimensional calculation  The other  items in this menu will be discussed in Section 2 8     Using Advanced Features of ATHENA           2 14 Silvaco    Tutorial       Note  Two dimensional mode is used in this tutorial to demonstrate 2D grid generation and manipulation  In most cases   however  it is unnecessary to change the Auto default in the Dimensionality item of the Mesh Initialize menu  ATHENA will  begin in 1D and will automatically switch to 2D mode at the first statement  which disrupts the lateral uniformity of the device  structure  This generally results in massive savings of computation time     You can now write the mesh initialization information into the file by pressing the Write button  The  following two lines will appear in the Deckbuild Text Subwindow       INITIAL SILICON STRUCTURE  INIT SILICON C BORON 3 0E14 ORIENTATION 100 TWO D             Now  run ATHENA to obtain the initial structure  Press the Run button on the DECKBUILD control  The  following output will appear in the simulator subwindow     ATHENA gt  NON UNIFORM GRID  ATHE
98.  antimony and boron  For the segregation calculation the file name  for model substitution is set on the DIFFUSE statement with the string parameter PSEG CALC     lt filename gt   This syntax is valid for all of the above with the string parameters being PSEG CALC   AS SEG CALC  SB SEG CALC and B SEG CALC  The activation calculation can also be accessed by  the C Interpreter for phosphorus  arsenic  antimony and boron  For the activation calculation the file  name for model substitution is set on the DIFFUSE statement with the string parameter PACT CALC     lt filename gt   This syntax is valid for all of the above with the string parameters being PACT CALC   AS ACT CALC  SB ACT CALC and B ACT CALC     All of these parameters can be used at the same time or separately as desired  Templates for all these  functions are located in a file called athena lib located in the directory  SILVACO lib athena   common  A sample function is given for each of the diffusion coefficient calculations  segregation  calculations  and activation calculations  All these functions should have different names  The  template file is copied to the current directory by typing    athena  T  lt filename gt     in a C shell     D 13 2  ELITE Capabilities    CHEMICAL and DIVERGENCE parameters have been added to the RIE model on the RATE ETCH  statement  These account for ions that hit the structure at other than normal incidence  A Gaussian  distribution of ions as a function of the angle is assumed  DIVE
99.  area of the effective source for which y x9 zg  has non zero values        Silvaco 5 5    ATHENA User   s Manual       For this purpose  Equation 5 19 is put into the form     rusvi      rx 29  Pepu v  degi 5 20  2  where   1 1 H nd I  2  Dxgyousv    5   fa  xoy  yo  Ky   explilu x   vy  dxdy 5 21     xp Zo   u  v   is proportional to the intensity at the point  u  v   due to a wave of unit irradiance  passing through  x9  zo  of the effective source     In the case of an annular shaped source  xg  Za has the form     ee ee  0 for xo   Zo  lt  amp     2 2  XXo Zo    4 1 for xo   Zo  lt 1 5 22  x  2  0 for x9 Z  gt 1    where s    is the fractional radius of the centered circular obstruction in the exit pupil of the condenser  lens  For a circular exit pupil  s    becomes zero     Equation 5 20 is the principle relation of a generalized Abbe theory  where the image formation under  partially coherent illumination of the object is accounted for by a combination of coherent imaging  processes for perpendicular and obliquely incident illuminating plane waves on the object  Since only  the image irradiance is of interest  it can be determined without using of coherence theory  103   For  the computation  the whole source is divided into a number of luminous point sources considering the  imaging due to each source as an independent coherent image formation process  The contributions  from each point source do not interfere  so the net image irradiance is the sum of the irradiance
100.  area produces additional diffusion in the center that is not seen for longer channel devices  This  phenomenon explains some of the reverse short channel effects seen in certain processes        Silvaco C 5    ATHENA User s Manual       Reverse Short Channel Effect    n MOS Channel profile versus Gate Length       Active Boron   em3          ft jij    1      L  1 0um  x L O 8um    L O0 7um  x L  0 6 um             per ey  0 3 0 4  Depth       Figure C 2  Enhanced diffusion of MOS channel profile     Question     I use SSUPREM4 for process simulation  but I need more realistic models for deposition and etch  How  can I use the ELITE module of ATHENA to do this  How does the interface from ELITE to SSUPREM4  work     Answer     ATHENA is a general purpose two dimensional process simulator that includes modules for implant   diffusion and oxidation for silicon and compound semiconductors  SSUPREM4   topography  ELITE   and lithography  OPTOLITH   This means that it is simple to include physical etch or deposition steps  using ELITE models in an existing SSUPREM4 input file     As device dimensions shrink the need for more physical simulation of the deposition and etch steps in  a process increases  ELITE provides these physical deposition and etch models  SSUPREM4 users can  only use conformal deposition and geometrical etch features built into ATHENA  These simple models  may not be sufficient to describe certain steps in the process satisfactorily     For example  in a typica
101.  based on  models that are as reliable as possible  It has become clear that the  abnormal  behaviors of dopant  diffusion in silicon are caused by non equilibrium point defects  These are induced by the diffusion  process itself  emitter push effect caused by high concentration of phosphorus diffusion   or injected  into the substrate by external treatments  such as oxidation or silicidation  Otherwise  they result  from the ion implantation used to introduce the dopants into the silicon substrate  With the necessary  decrease of the thermal budget due to the shrinkage of the device dimensions  these transient  phenomena become key issues for accurate dopant diffusion simulation     Classical Interstitial Dopant defect  model Clusters modal Clusters model    Full and physical model       Figure 3 1  The model consists of three parts  the classical dopant diffusion model  the interstitials clusters  model and the model of mixed dopant clustering     The new model of dopant diffusion implemented in ATHENA is called PLS and was developed in  collaboration with CNRS Phase  Strasbourg  France   CEA LETI  Grenoble  France  and SILVACO   This model is up to date with actual physical models and contains only physical parameters  18   It  consists of three parts  the classical dopant diffusion  CDD  model  the interstitials clusters  IC  model  and the model of mixed dopant defect clustering  DDC   This section describes the three parts of the  model and how the new model differs fro
102.  can also use to integrate  along a specified line  The value printed is the value that has been selected  see Section 6 55      SELECT         X VALUE specifies the x coordinate of a vertical cross section along which the selected values are to  be printed  Units are microns     Y VALUE specifies the y coordinate of a vertical cross section along which the selected values are to be  printed  Units are microns     MATERIAL specifies the selected values in the named material at the interface with another  material named by  MATERIAL are to be printed  see Section 6 2 9     Standard and User Defined  Materials    for the list of materials         ARCLENGTH is only relevant when printing along an interface  If ARCLENGTH is chosen  the printed  ordinate is the arclength  measured in microns  along the boundary from the left most point of the  curve  If ARCLENGTH is not chosen  the x value of the interface location is printed  The coordinate of  the left most point is equal to its x coordinate in the mesh layers           LAYERS instructs the selected print variable to integrate in each material it crosses  The integrated  value and material width is reported  Zero crossings of the variable are treated the same as material  interfaces     X MIN and X MAX specify the minimum and maximum positions along the cross section to be  printed     FORMAT changes the print format for the variable  using standard format expressions of the C   language  Default is      16e        Examples    T
103.  ccc en een n ene nes B 4  B 1 9  Stress dependent Growth Model Coefficients               0  c cece eee eens B 4  B 1 10  Mechanical Parameters For Stress Calculations            00  c cece cee eee eeeee B 4  B 1 11  Linear Coefficients Of Thermal Expansion               0c cece eee e eee eens B 5  B 1 12  Volume Expansion Ratio vess03sbevew eels teed ieee even bepiad coda den enenbewlaedveien B 5  B 2  Impurity Diffusion Coefficients           0  cece eee eee e enn eeee B 5  B 3  Impurity Segregation Coefficients           cece cece teen eee eee ene eeeeee B 6  B 4  Interface Transport Coefficients            00  c cece ete eee e eee eee eee eens neee nee eeaeee B 7  B 52 Solid solubility In  SICON ci beech iene rea hares wierd ade E aed eae B 7  B 6  Point Defect Parameters          00  cece eee eee B 8  B 7  Defect Interface Recombination Parameters           0ccceeee cece eee eee e een eee teens B 8  B 8  Defect Growth Injection Interface Parameters          00ce cece eee eee eee eee een neneeeee B 9  B 9  Material  Parameters ss lt     0  dra ld acotd a aced eae a dla We A doa Vier aa eee ae ea B 9  Appendix C  Hintsand MWS itccce eee see teotane peered eee NN ete tee ate OOE ye C 1  Appendix D  ATHENA Version HIStOry oia sec nnn tecutr eins cee tae ten ten enn D 1  D 1  ATHENA Version 5 16 0 R Release Notes           cc cece eect e eee eee ee eee n eee teeeenee D 1  Ditch SSUPREM4 Features neei aei pa eames eid Sard 6 an wh ae bad wate oat a D 1  D 4 2  Optolith F  at 
104.  corresponds to redeposition     ER   ER polymer    PF  lt 0 4 24    The corresponding ejection rate EJR is equal to the etch rate of polymer     EJR   ER polymer  4 25    When calculated ER polymer  is larger than polymer flux  the actual etch rate is positive     EP m  i  ER   ER m   PF 5   2  4 26  ve 2 BP ooma  i    n    The corresponding ejection rate is calculated as follows     EJR   PF   ER 4 27       4 18 Silvaco    ELITE Models       C Interpreter    You can use the C Interpreter to introduce different etch and ejection models  The following  parameters are passed to the C Interpreter file and can be used for implementing the models  number  of ion types  the four characteristics of ion fluxes for each ion type  Equations 4 19 4 22   PF  and  surface material  m  Returned parameters are ER and EJR     For example  you can simulate the wet etching by setting the etch rate to a constant positive value  depending only on the surface material  In this case  the trajectory tracing part of the model is not  needed  The number of trajectories can be set to one     Uniform deposition can be simulated by the setting of a negative constant etch rate and by specifying  the redeposited material other than polymer in the ETCH statement  If the fluxes are not used  as in the  wet etching simulation  the void formed will eventually be filled with the deposited material  because  inside the C Interpreter there is no way to determine if the current surface segment belongs to the  vo
105.  could be found from   tan a     tan   cos    3 204  When the FULLROTAT parameter is specified in the IMPLANT statement ATHENA calculates  superposition of 24 implants with rotation angles equal to  15n    and doses equal to    24     The implantation front  perpendicular to the   direction  is divided into a number of slices N   usually   gt  100  of width a  The implant concentration in each grid point  i  with coordinates  x   y   is calculated  by the summation of contributions from each slice  k     Cx  y     gt  C x        3 205  I lt k lt  N   The contribution from each slice C  is calculated by integration of the point source 2D frequency  function F  p x y   with the starting point at the intersection of the normal n to the central of the slice  with the structure surface  over slice width     a 2  City          frp d  t di 3 206     a 2       3 72 Silvaco    SSUPREM4 Models       where d  is the depth along implant direction  i e   distance between the starting point and the  projection of the point i on the vector n  and t  is the transversal distance  i e   distance between the  point i and the vector n   See Figure 3 21     implant          Figure 3 21  Integration Geometry for the Convolution Method  Depth Independent Lateral Distribution    The simplest type of the 2D frequency function is a product of longitudinal function fix   which can be    a Gaussian  Equation 3 178   Pearson  Equation 3 191   Dual Pearson  Equation 3 193   and depth   independent transve
106.  defined  materials can be also specified  The following chemical elements are typical dopants in these  compound semiconductors  Si  C  Se  Be  Mg  Ge  and Zn     3 8 1  Diffusion Models    The default diffusion model in compound semiconductors is the same as the Fermi Model with electric  field effect used for silicon in SSUPREM4  see Section 3 1 2     The Fermi Model      All diffusivity  parameters from Table 3 2 can be specified for each dopant in all compound materials  But  only  reasonably calibrated set of diffusion parameters exist for GaAs   77   You should perform calibration  or all other materials     Note  More advanced diffusion models TWO DIM and FULL CPL can be potentially specified for compound semiconductors   The point defects kinetics  however  is largely unknown which means that extensive research and calibration is needed    It was determined in  77   that n type dopants  Si  Se  and Ge in GaAs  diffuse through the Ga Vacancy  Mechanism  while p type dopants  Be  Mg  Zn  and C  diffuse through the Ga interstitial mechanism   This means that for donors in GaAs the diffusivity is calculated as follows     n      2   7 _     D lonor 7 Day   Day      Dyy  2  3 239  i i    To look up these diffusivity terms  see Table 3 2     The intrinsic carrier concentration n  is calculated by Equation 3 9 with the parameters NI 0  NI E   and NI POW taken from  78   The experimental data cited in  77  show that diffusivity of Si and Se in  GaAs can be considered as conc
107.  dopant atom cannot diffuse on  its own     it needs the assistance of a point defect  a silicon self interstitial or a lattice vacancy  in the  near vicinity as a diffusion vehicle  If there is a non vanishing binding energy between the two  they  can move as one entity  a pair  through a number of jumps and inversion cycles before eventually  breaking up  When speaking of dopant diffusivity within the scope of these models  one actually means  the diffusivity of the pair as a whole  A point defect  however  can either diffuse freely or as a  participant in a dopant defect pair  The diffusivity of a free point defect can actually be different from  the diffusivity of a point defect pair     All diffusion models in ATHENA also use the concept of Chemical and Active Concentration Values   The chemical concentration is the actual implanted value of the dopant but when dopants are present  at high concentrations  clustering or electrical deactivation can occur so that the electrically active  concentration may be less than the corresponding chemical concentration  This is described Section  3 1 6     Electrical Deactivation and Clustering Models        ATHENA creates structures that can have multiple materials and interfaces such as the polysilicon   oxide silicon interface in MOSFETs  Each interface within ATHENA has boundary or interface  conditions that model impurity segregation  The model details are described later in this chapter  You  should  however  be aware that the g
108.  during the analytical ion implantation process  simulation step by scaling their distribution densities to the implanted profile     Plus 1 Model    The first damage model is related to free point defects  Here  interstitials are scaled to the as  implanted dopant profile with the scaling parameter DAM FACTOR  lt n gt   This model is invoked with  the UNIT  DAM flag on the IMPLANT line     For example        IMPLANT PHOS DOSE 5E14 ENERGY 45 UNIT DAM DAM FACTOR 0 001                      Silvaco 3 87    ATHENA User s Manual       This model is known as the Plus 1 model  In the case of low implantation doses  the value for  DAM FACTOR has been suggested to be equal to unity  Although perhaps valid at low doses  the related  and subsequent diffusion mode METHOD FULL CPL is not required in most cases  Therefore  this  combination is an impractical approach  Recent research on RTA diffusion models  e g   Stanford   s   311  Cluster model  has introduced other forms of damage  Thus  lowering the dependency of free  point defects being initially set at a Plus 1 scaled profile        The DAM  FACTOR parameter  when used with the  311  Cluster model  should have a far lower value in  the order of 0 001  Note that this is an extremely sensitive parameter when studying shallow junction  formation so use it carefully      311 Cluster Model    The  311  Cluster model introduces a bulk injection source of interstitials in addition to any other free  point defects sources  Clusters are int
109.  eae  reteten  ite  AHH  Ct  feck  ESTETI  reise tite     Le  i  it  ct  cht  Cat  etier    it  Hi    sii     yt  CHHT   fF  fi  celal  atte    it    Microns                                                                                                                                                                                                                                                                                                                                                                                                                                                                                                          LYACO International 13994 4       Figure 2 22  ATHENA Reflect Capability  The left half of the structure is a complete mirror copy of the right part  including node coordinates   doping values  and so on  Beware of rounding errors when mirroring  If the boundary of reflection is  not smooth to within 0 1 angstroms  some points will be duplicated     Specification of Electrodes in ATHENA   The ultimate goal of an ATHENA simulation is usually to create a device structure  material layers  plus doping   which then can be used by a device simulator  usually ATLAS  for electrical  characterization  Although ATLAS is able to specify the locations of electrodes  in many cases  specifying electrodes must be done in ATHENA  For example  it is impossible to specify an electrode  location in ATLAS when the electrode does not consist of straight segment
110.  etching  the liquid attacks the surface and removes soluble products   This reaction produces volatile by products  which are removed by a vacuum pump  Physical reactions  do not take place  A barrel plasma reactor achieves such conditions  usually at low powers and  moderate pressures  Due to the chemical reaction  isotropic profiles develop with mask undercutting  and circular cross sections                                       fiso bisects the angle  7 between line segments          _ Initial line of action    Isotropic advance  r   fiso    Figure 4 8  Segment Point in Case of Isotropic    4 4 2  RIE Model    In the Reactive Ion Etching  RIE  model  the etching process is divided into the two adjustable  components isotropic etching and anisotropic etching  Each of these components is characterized by  empirical etch rates  riso and rq        The ratio     ry    Dee ee 4 13  Friso  r dir   defines the measure of anisotropy     The isotropic component r    models chemically reactive etching which results in profiles with  undercut and circular cross sections  For A 0  the process is completely isotropic  Under isotropic  conditions  the string points are advanced at the constant rate r     in the direction of the    perpendicular bisector of the adjacent segments  see Figure 4 8         4 12 Silvaco    ELITE Models       The anisotropic etch rate component r   is proportional to the cosine of the angle between the flux    direction and the surface normal  the perpendicula
111.  for the Dill exposure model     E1 DILL  E2 DILL  and E3 DILL defines the E1  E2  or E3 parameter for Dill   s development rate  function  These parameters are dimensionless     RMAX MACK  RMIN  MACK  MTH MACK  and N MACK are the constants for the Mack  development model  RMAX   MACK specifies the development rate of the fully exposed resist  RMAX  MACK  must be specified in microns sec  RMIN MACK specifies the development rate of the unexposed resist   RMIN MACK must be specified in microns sec  MTH MACK is the threshold normalized PAC  concentration  MTH MACK is dimensionless  N MACK specifies the developer sensitivity  N MACK is  dimensionless     RO TREFONAS and Q TREFONAS are constants for the Trefonas development model   RO TREFONAS specifies a development rate constant  RO  TREFONAS must be specified in microns sec   Q TREFONAS specifies a development rate constant     RO HIRAI  RC HIRAI  and ALPHA HIRAT are constants for the Hirai development model   RO HIRAI specifies the development rate of the fully exposed resist material  RO HIRAI must be  specified in microns sec  RC HIRA specifies a development rate for unexposed resist  RC  HIRAI must  be specified in microns sec  ALPHA   HIRAI specifies a dimensionless reaction constant     R1 KIM  R2 KIM  R3 KIM  R4 KIM  R5 KIM  R6 KIM  R7 KIM  R8 KIM  R9 KIM  and R10 KIM  are constants for the Kim development model  R1   KIM corresponds to the dissolution rate of the resist  material if it has been fully exposed  that is i
112.  fragment appears in the input deck     DECKBUILD will then generate the next sequence of the                           etc    etc    etc    etc    etc    etc    etc    etc    etc    etc    etc    etc    N    N    N       DEPO PHOTO THICK 1  EXPOSE MASK POLY  DEVELOP          photo start x  0 100000 y  1000    cont x  0 100000 y 1000    cont x 0 800000  done x 0 800000    photo start x 1  cont x 1 200000  cont x 1 800000  done x 1 800000    photo start x 2  cont x 2 200000  cont x 3 100000  done x 3 100000    y 1000  y  1000     200000 y  1000    y 1000  y 1000  y  1000     200000 y  1000    y 1000  y 1000  y  1000    ETCH statements           Silvaco    E 5    ATHENA User s Manual       If you use negative photoresist  the photoresist will be removed underneath all opaque regions                       EXPOSE MASK POLY                DEVELOP       DEPO PHOTO NEGATIVE THICK 1    In this case  DECKBUILD will generate an alternative sequence of the ETCH statements        etch photo start x 0 800  etch cont x 0 800000 y 1  etch cont x 1 200000 y 1    etch done x 1 200000 y      etch photo start x 1 800  etch cont x 1 800000 y 1    etch cont x 2 200000 y 1       etch done x 2 200000 y         000 y  1000  000  000  1000    000 y  1000  000  000  1000    Note    sec files generated by SILVACO s MaskViews tool provide superior capabilities in the simulation grid generation    and mask processing control     E 6  Aliases and substitutions for some statements    AMBIENT is alias for O
113.  from  each source point     The normalization used throughout this investigation is that the mask is illuminated with unit  irradiance so that the ideal image has unit irradiance  where unit magnification is assumed   Therefore  the brightness of the source decreases as its size increases  Equation 5 20 is the principle  equation of the algorithm  which is used for studying the influence of annular apertures     The object spectrum  see Equation 5 11  is calculated analytically  and the coherent image  see  Equation 5 18  is calculated using a Fourier Series approach     The shape of a single mask feature must be rectangular  This is because the Fourier transform for a  rectangular feature is calculated based on an analytical formula     Since the Fourier transform is linear  you can compose arbitrary shaped mask features from the  rectangular components  The object spectra of the single mask features  components  are simply added  up  The treatment can then be considered as appropriate  and no numerical discretization errors in the  size and placement of the mask features can occur     Note  You may use MASKVIEws to create or import masks of any arbitrary shape  The mask layout will be sliced  divided  on  rectangular elements when it is imported into OPTOLITH  OPTOLITH can import masks containing any number of mask  elements        5 6    Silvaco    OPTOLITH Models       5 3  Optical System    Figure 5 2 shows the optical system used by OPTOLITH  The meshes in the Fourier 
114.  growth dependent generation model  VMOLE is the lattice density of the consumed                   material  the units are cm   THETA  0 specifies the pre exponential constant for the fraction of  consumed atoms injected as interstitial  or vacancy   THETA E specifies the activation energy for the  fraction  the units are eV   GPOW 0 and GPOW E specify pre exponential constant and activation  energy of the power parameter of the growth injection formula                       WETO2  DRYO2 specify whether the parameters THETA 0 and THETA E are for wet oxidation or  dry oxidation  The default is DRYO2                 REC STR and INJ STR allow you to specify experimental models for interstitial  or vacancy   recombination or injection at interfaces  Three macros are defined for use  T is the time in seconds  and  X and Y is the coordinates  If these are specified  they are used in place of any default model     A 0  A E  T0 0  T0 E  TPOW 0 and TPOW E specify parameters for time  dependent injection model  TIME INJ  A O is the pre  exponential constant for the injection rate and A E is the corresponding  activation energy  T 0 and T E are the pre exponential constant and activation energy for the time  constant in the time dependent injection formula  TPOW 0 and TPOW E are the pre exponential  constant and activation energy for the power constant in the time dependent injection formula                             Silvaco 6 55    INTERSTITIAL and VACANCY ATHENA User s Manual       
115.  growth of boron doped silicon on top of silicon at a rate of 0 5  um per minute  The deposit thickness is time x rate 5 um        EPITAXY TIME 10 TEMP 1150 C BORON 5E14 GROWTH RATE 0 5                   Time and Temperature Example    The following statement will deposit 64m of epitaxial silicon on top of silicon over 10 minutes   Phosphorus is out diffused during the processing  The number of vertical grid points in the completed  epitaxial layer is set with the DIVISIONS parameter  The syntax is similar to the DEPOSIT statement        EPITAXY THICK 6 TIME 10 TEMP 1180 C PHOS 1 5E14 DIVISIONS 20                Non uniform Grid Control Example    The following statement performs epitaxial process with a non uniform vertical grid spacing  The  vertical grid spacing will be 0 5 um at a distance of 5 um below the final surface  The epitaxial layer  will be subdivided into 40 sublayers        EPITAXY THICK 10 TIME 30 TEMP 1100 DY  5 YDY 5 0 DIVISIONS 40                            For more examples  see DEPOSIT and DIFFUSE              Silvaco 6 31    ETCH ATHENA User s Manual       6 19  ETCH    ETCH simulates an etch process        Syntax   ETCH   MATERIAL   NAME RESIST  ALL DRY  THICKNESS  lt n gt    ANGLE  lt n gt    UNDERCUT  lt n gt     LEFT  RIGHT ABOVE BELOW   P1 X  lt n gt    P1 Y  lt n gt    P2 X  lt n gt    P2 Y  lt n gt    START   CONTINUE  DONE   X  lt n gt    Y  lt n gt     INFILE  lt c gt    TOP LAYER  NOEXPOSE     MACHINE  lt c gt    TIME  lt n gt   HOURS   MINUTE
116.  in ek ceive ere 3 1  Parabolic Rate Constant  Chlorine Dependence                     es eeceseeeseeeseeeeeeeeeneees 3 57  Pressure  Dependent  iisisti isisisi inona 3 57  Pearson Differential Equation            ceceeesseessseeeeeneeeeeeneereenes 3 67  Photoresist Bake  imins hi einnsean os acter ie 5 12  Physically Based Simulation              ccescseeeeseeeeeeeeneeeeeeeeeeeee 1 4  Plasma Etching Model  Dopant Enhanced Etching               ceesseeesseeeesneeeeeneeeeeeees 4 14  PLS Diffusion MOdels            c ceeecceeeteeeeeseeeesseeeeneeeneeeeas 3 23 43  PLS Diffusion Models Examples              ccesceseeeeseeeeeeees 3 37 43  See also Advanced Diffusion Models Examples  point defect anana en e a 3 1  Proximity Printing  Lithiography  eiai Hee ieee a 5 15  Simulation Method            eeeceeeseeseeeeeeeeneeeeeeeeeeesseeeeneeeaees 5 17  Theory Of is  leet iain aA 5 15 5 16       Index 3    Silvaco    ATHENA User s Manual       R  Rapid Thermal Anneals  RTA    Epitaxy  E ETT 2 40 41   Noies a a MM rake eet Ea 2 38   Oxidation  ieie octets ea teks oh our cols bates art an 2 39  Recombination at the Surface   GNEV E EEE Boh As es oN 3 30 31  Retlow  Model   3 2 ci6c  eset heheh r a aaa 4 20  reverse short channel effect   PROCES sie  ies P led Oh ON Ce heat Moh lence C 10  RTA Diffusion Modeling            eeseeeeseeeseneeeseeeeeeneeeseeeteaes 3 18  S  Second Order Fick   s Equation           cescessceeeseeeeeeeeeeeseeeeeeeeeees 3 3  SiGe Process Simulation   DIFFUSE iii a
117.  incorrect use of the METHOD statement  will invalidate the rest of the following section        Calibrating an ATHENA input file for a typical MOS process flow involves using the device simulator   ATLAS  since electrical measurements from the MOSFETs in question often represents the majority of  the physical data available for calibration  This can be thought as a paradox since ATLAS would also  have to be correctly calibrated  The reason that this doesn   t present a problem is discussed below     An important point to remember when using Technology Computer Aided Design  TCAD  is that the  most critical task is to accurately model the process flow     Note  For accurate MOSFET simulation  you should invest 90  of the time in achieving an accurate process simulation   while only investing 10  of the time in fine tuning the device simulation     The reason for this  especially for silicon technologies  is that the device physics  in general  is  understood  For silicon  not only is the physics well understood  it is also well characterized  so most of  the default values in ATLAS will be correct  Therefore  the calibration of an ATHENA process file does  not involve the calibration of well known quantities such as diffusion coefficients  Instead  the  calibration involves variables that are process and production line dependent  For example  the  damage caused by an implant cannot be determined exactly  since it is dose rate dependent and can be  influenced by beam heating 
118.  linear solution for flow velocities and stresses and then uses the stresses  obtained to calculate the reduction factors for oxidant diffusivity  Dg  oxide viscosity  u  and the    interface reaction rate constant k as follows                    x P V  o  o  Dp   Dp exp j 3 147  ee      ee Pare mew alae 3 148  WV  sinh      2kT   i  _   D   Ne  k   k exp       3 149    where i is the iteration  Vg  V  V  and V  are the activation volumes  in      specified in the OXIDE  statement        tis the total shear stress        r  1 ho ae eae 3 150  2 XX YY xy    o  is the normal component of the total stress     o  o ie o oe  20 nn 3 151  r xx xX yy y xy X y    o  is the tangential component of the total stress     O   6 ie Pa  20 nn 3 152  t XX y yy x XY X y    where n  and n  are the x and y components of the unit vector normal respectively     The reduced parameters feed back to the next iteration  This process continues until the accuracy  criterion is met  Fast convergence of this process is not guaranteed  Oxidation calculations by the  stress dependent model usually take much more CPU time than the Compress Model     Figure 3 11 shows the resulting structure from a LOCOS oxidation step using the stress dependent  Viscous Model        Silvaco 3 49    ATHENA User s Manual       ATHENA  Stress   Dependent Viscous Model    Materials  SiO2  Silicon  Si3N4     1  0 8  0 6  04  02 Midons 02 04 0 6       Figure 3 11  Resulting Structure from a LOCOS Oxidation step using the Stress D
119.  new parameter  specifies maximum ration between adjacent mesh lines in  x direction  It is equivalent to the same parameter in the MESH statement     DY RATIO  new parameter  specifies maximum ration between adjacent mesh lines in  y direction  It is equivalent to the same parameter in the MESH statement     IN FILE is alias for INFILE     RATIO is an alias for INTERVAL R              There are several additional ways to specify initial substrate doping  You can specify the impurity  name by using IMPURITY  lt impname gt  parameter  where  lt impname gt  could be boron  phosphor   arsenic  and antimony  You can specify the corresponding doping either by I CONC  lt conc gt  or  I RESIST  lt resistivity gt   Alternatively  you specify the concentration of an individual impu   rity by using BORON  lt conc gt   PHOSPHOR  lt conc gt   and so on  Boolean parameters RESISTIVITY  and CONCENTRATION specify which method of initial doping specification to be used                    A one dimensional grid structure can now be specified without using LINE statements  or their  equivalents MESH  MASK or loading of a   sec file from MASKVIEWS   The syntax of the INITIALIZE  statement of SSUPREMSB and its derivatives can be used           e DX specifies the nominal grid spacing in the initial grid    e MIN DX specifies the minimal grid spacing    e SPACES specifies the number of the grid spaces in the initial structure   e THICKNESS specifies total thickness of the initial structure    e TI
120.  of a microlithographic exposure system  are approximated by        A  RES   k      WA 5 1  and  DOF   kz  A 5 5 2   NA     where    is the wavelength of the exposing radiation  NA is the Numerical Aperture of the imaging  system  and k  and ko are process dependent constants  Typical values for k  are 0 5 for a research  environment and 0 8 for a production process  the value usually assigned to ko is 0 5     We shall discuss the basic assumptions upon which the model rests  Next  we shall derive the principal  equations used for calculation of the image irradiance distribution for objects illuminated by partially  coherent light     The treatment presented here assumes the radiation incident on the object to be quasi   monochromatic  which means that the spectral bandwidth is sufficiently narrow so that wavelength   dependent effects in the optics or in diffraction angles are negligible  The source is of a finite spatial  extent so that the advantages of spatial incoherence are realized in imaging     The mask is completely general in that phase and transmission are variable  but it must be composed  of rectangular features     The calculation of the diffraction phenomena is based upon the scalar Kirchhoff diffraction theory   Since the dimensions of the mask are almost the same as the illumination wavelength  we can ignore  any polarization taking place as the radiation propagates through the mask     We assume scalar diffraction  which means neglecting the vector nature o
121.  of process  simulation software  This section highlights some of the most common barriers encountered using  process simulation to model oxidation steps  and describes how to overcome those barriers with the  proper methods for simulating these oxidation steps     One of the most common errors made in simulating oxidation steps is improperly gridding of the oxide  structure  Improper gridding can result in jagged oxide shapes and errors in resolving impurity  distributions  As the oxide layer is growing  grid points are added at predefined spacings  As silicon is  being consumed  dopants are transported across the Si SiOs   interface  It is important to obtain a well    gridded oxide to properly account for dopant redistribution during the oxidation step   Growing Thin Oxides    A typical application where thin oxide growth is important is during a gate oxidation step of a  MOSFET which has a highly doped polysilicon gate  By default  SSUPREM4 uses a grid spacing of 0 1  microns in the growing oxide layer  Thus  one grid layer will be added in the growing oxide every 0 1  microns  or 1000 angstroms   This grid spacing is appropriate for field oxidations  and hence the  reason it is the default grid spacing in the growing oxide layer  Using the default grid spacing in the  oxide for typical gate oxidations in today   s MOS technology results in no grid being added in the  interior of the SiO  layer  With no grid present in the oxide to resolve the dopant diffusion in the oxid
122.  of the time required to  oxidize the thickness of one grid layer  which should elapse before resolving the flow field  Usually  REDO OXIDE is much less than OXIDE GDT  which is an upper bound on how long the solution  should wait  It is mainly intended to exclude solving oxidation at each and every one of the first few  millisecond time steps when defects are being tracked     TRBDF and FORMULA specify the time integration method to be used  The TRBDF parameter  indicates that a combination trapezoidal rule backward difference should be used  The error is  estimated using Milne   s device  The FORMULA method allows you to specify the time step directly as a  function of time  t   previous time step  dt   and grid time  gdt   This option is primarily for  testing  The TRBDF method is the default     Parameters related to OXIDATION models    ERFC  ERFG  ERF1  ERF2  COMPRESS  and VISCOUS are oxidation models  see Chapter 3      SSUPREM4 Models     Section 3 3     Oxidation Models      The ERFC parameter indicates that a simple  error function approximation to a bird   s beak shape should be used  The ERF1 and ERF2 models are  analytic approximations to the bird   s beak from the literature  The ERFG model chooses whichever of  ERF1 or ERF2 is most appropriate  All erf models are applicable only to the simplest case of oxidation  to the right of the mask edge  All relevant parameters in the OXIDE statement must be explicitly  specified when using any of the ERF  models  The COMP
123.  optional optional  smooth step no optional   optional optional optional optional optional optional optional optional         To use the planetary model  either the ANGLE1 or the DIST PL parameter must be specified  These parameters are mutually exclusive           2 62 Silvaco    Tutorial       Defining ELITE Etch Machines  An ATHENA ELITE etch machine can be defined using the ATHENA Rate Etch Menu  Figure 2 45      To open this menu  select Process gt Etch    gt Rate Etch in the Commands menu  The machine  definition requires the specification of four general parameters and one or several model specific  parameters     The general parameters that must be specified are as follows   e Machine name  e g  TEST02   This parameter uniquely identifies the machine   e Material name  e g   silicon   A user  defined material can also be specified     e Machine type  e g   Wet Etch   You can select one of three models by pressing the appropriate  button     e Etch rate units specifier  e g   A    min   You can select one of seven unit specifiers from the menu     One or several model specific parameters are attributed to each model  For example  only the  ISOTROPIC rate parameter is required for the Wet Etch model     Table 2 5 indicates which parameters are required for each of the three models  The Parameters for  Specific Machine Type section of the Rate Etch menu includes only those parameters  which are  relevant to the selected model        If the ATHENA Rate Etch Menu is set as
124.  orientation of the  substrate  There three major planes regarding ion implantation in crystalline materials  mainly     e the implantation plane a   e the surface plane      e and the simulation plane B    The implantation plane is where the initial beam of incoming ions lays in  It equivocally defines the  direction of the incoming beam    tilt and rotation  If the orientation of the surface plane is  100    which is the only substrate orientation available currently in the Binary Collision approximation  implantation module  BCA or CRYSTAL parameters   the offset of the rotation angle is the direction   lt 101 gt  on this plane  This means that the tilt angle  9  specified by the TILT parameter in the  IMPLANT statement will be the polar angle in laying this plane  while the rotation angle       specified  by the rotation parameter will be the difference of azimuths of the line where the implantation plane   a  crosses the surface plane      and the direction  lt 101 gt   See Figure 3 23     Note  Presently  the surface orientation  the ORIENT parameter in the INITIALIZE statement  does not have any affect in the  crystal Monte Carlo module and the surface orientation is always  100         3 82 Silvaco    SSUPREM4 Models       implantation plane         surface  plane  100   101        major flat      I  simulation plane   or projection  i e  TONYPLOT   s plane     Figure 3 23  Implantation geometry    The simulation  projection  plane is where all data regarding the simu
125.  poly thick 0 50 c phosphor 5 0e19 divisions 10 dy 0 02 ydy 0 2 4  min  spaced  001   struct outfile manual_ 4 str   tonyplot manual_ 3 str manual_ 4 str  set manual_ 2 set                       etch poly right p1 x 0 3  co    paste   init     pause    clear J  restart   kill   Stop  No       Thu May 11 17 02 41 1995    Executing an host  scorpio    ne     a  ka  ATHENA  gt  sa    ATHENA started ATHENA                    l    Figure 2 1  Main Deckbuild Window    2 1 2  Loading And Running ATHENA Standard Examples    DECKBUILD makes it possible to load and run a number of example simulation input files  To access the  ATHENA examples  select Main Control    gt Examples and the Deckbuild Examples Window will  appear  See Figure 2 2      Groups of DECKBUILD examples are listed in the Section menu and are grouped according to the  simulator or simulation topic the example demonstrates  The Sub section menu lists individual  example input files  To run examples  select one of the sections  e g   ATHENA_IMPLANT  in the  Section menu  This will open a list of input file names  Short descriptions of the examples will appear  in the Examples Window     Select one of the input files using the Sub section menu or by double clicking on the input file name  and a description of the selected input file will appear     Press the Load Example button to load the selected input file into the Deckbuild Text Subwindow   bottom panel of the window   The input file  along with other files associat
126.  processes accurately     e Diffusion calculation has been modified to allow the previous discretization or Rex Lowther   s dis   cretization method  The improved Lowther discretization can be accessed using the parameter  LOWTHER on the METHOD statement     e The oxidation gridding algorithm has been modified to allow a thin grid at the initial oxidation and  a coarser grid throughout subsequent oxidation  This technique is designed to create a fine grid dur   ing gate oxide and similar growth steps but coarse grid for thicker oxidations  The parameter GRI   DINIT OX on the METHOD statement sets the value of the initial grid thickness  A similar  capability for silicidation is available via the GRIDINIT SIL on the METHOD statement     e Substrate orientation can now be specified on the INIT statement to set the orientation of trench    sidewalls  This effects oxidation and Monte Carlo implantation     e The SSUPREM4 MaskViews interface has been replaced by the MaskViews cutfile capability  All  references to the SSUPREM4 MaskViews interface in the INITIAL statement will be ignored dur   ing calculation and will produce a warning message     e The regrid capability has been replaced by the functionality of DEVEDIT  REGRID statements in  SSUPREM4 input will be ignored during calculation and will produce a warning message     e Regional attribute information can now be set in SSUPREM4  Currently the attributes that are set  by SSUPREM4 are only electrode names     e Poly Oxidat
127.  proposed by Brandt and Kitagawa  64      Their stopping power  S   ml   of the medium for an ion is in the first approximation proportional to    dx  a mean square effective ion charge  They derive the effective stopping power charge of a projectile   Z  from a given ionization state  q  If a fractional effective charge of an ion with the given ionization    state  q is defined as    Z  S 1 2  Eh AE le 3 229    where Sg a7 the stopping power for bare nucleus  Brandt and Kitagawa theories produces the    following simple expression for the fractional effective charge of an ion     bagr eu n FONAN 3 230  where   e q    Z  N  Z  isthe fractional ionization        3 80 Silvaco    SSUPREM4 Models       e Nis the number of electrons still bond to the projectile nucleus        ag and rg are Bohr s radius and velocity        k F and v p are Fermi wave vector and velocity     For the screening radius A  Brandt and Kitagawa assume exponential electron distribution  which  becomes     2 3  hea 3 231    ZU  N  7N       The only undefined quantity  C  is of about 0 5 and somewhat depends on the target  The degree of  ionization  g  can be expressed as    r       0 92v    oes 3 232  vz       q   1 ef    where v    p 1  r  is the relative velocity between the projectile and the target electrons  which    are calculated as follows     3vp 2v 1  1  v          1         1  for V lt  Vp 3 233  j 4 3v  15 v  v    E  v        i  25  for V vp 3 234  1    Damage Accumulation Model  The present mod
128.  reactions  Equations 3 120 and  3 125      3 2 6  Typical Examples    The following will show each part of the PLS model validated using specific experimental results     The CDD model is tested using a simple predeposition step  The interstitial cluster part of the model is  validated using the Cowern   s experiment  20   and the mixed cluster part of the model is then analyzed  using the Pelaz experiment  24   To illustrate the improvements given by the PLS model  we perform  simulations within a very broad range of experimental conditions from a standard implantation and  diffusion step to a  state of the art  RTA    Predeposition  Boron    As a first indication that the PLS model is able to handle the complex couplings between boron and the  free point defects  we show in Figure 3 3 the result of the conventional predeposition steps simulation   diffusion with a constant surface concentration         3 36 Silvaco    SSUPREM4 Models       TonyPlot   V2 8 18 A  File 7  View    Plot    Tools    Print    Properties  gt   Help 7  file  Miew v  Plot     Tools v  Print v   Properties     Help         PLS Model  Boron Predeposition    Csurf 6 0e19 cm 3  T 850C  t 4hours           z  f     5      z  a         a          Click to place   P changes alignment  or drag to get leader    SILVACO International 2004    Figure 3 3  Simulation of Boron predeposition using the CDD model at various temperature  time annealing and  surface concentration   Crosses  pluses  and squares are expe
129.  res i nidi earnen area oad e cece whee tree baa sa here wee ine AREIA D 2  D 2  ATHENA Version 5 14 0 R Release Notes         0  cece cece eee e eee eee eee eee nnn D 2  D2 1s SoUPREMS Features piaren sipna wa todas basaeh alae aha ke tae RR E E h D 2  D 2 2 ELITE Features i    erii i E ea ais eee is Pela ee ee D 2  Di2 3   OP TORI Features tars nina ciate cea a Reed eR ene eee E ead eae ae D 2  D 3  ATHENA Version 5 10 7 R Release Notes         0  cece cece tee e eee eee ene eens D 3  D 4  ATHENA Version 5 10 0 R Release Notes           cc cece cece e eee e eee ee eee n eee neeenee D 4  D 4 1   General Featur  S so  cnte den AA aa A AE AA AE sup Asha alp Apc anne aE ee ala D 4  D42 SOUP REA Eei a A o e a aa e ae eaa ed es Reh o ea D 4  DA Ee PRT m A N E E E E AEE E A E A N E te od D 5  D 5  ATHENA Version 5 8 0 R Release Notes        uuusununnunnnnnnnrnnnnrnnnnnnrrnrnenernenennan D 5  D5 TSSUPREM aa a Soak ah cae Ate ard barca Ana ien Se aed aes and ea A tate SNe se wc ad oh tat D 5  D 5 2 ELITE Capabilities ss ir Sei pete ec tle ede tees pee few deni ener ees D 6  D 5 3  OPTOLITH Capacitles crates seer atp anon  eae E beled ane y nae sa EEEN eR eRe ce waaay D 6  D 5 4  Miscellaneous Features and Bug FixeS            2 000 e cece eee eee eee eee eee ee eeeee D 6  D 6  ATHENA Version 5 6 0 R Release Notes         ccc  eee e eee ee eee eee ee ene n eee eeeeenee D 7  D 6 1 SSUPREM4  cues a ais hel ad eee eee pote le eer peated EE Ena D 7  D 6 2  ELITE Capabilities fai  inulin 
130.  s  law as    F     D VC 3 129    eff    where Dy is the effective oxidant  H20 or Og  diffusivity in the growing SiO  layer  C is the oxidant  concentration in the oxide        3 44 Silvaco    SSUPREM4 Models       The reaction at the Silicon  or Polysilicon  SiO  interface between silicon and the oxidant is expressed  as    F    kCn  3 130    where     e kis the apparent surface reaction rate constant   e C  is the oxidant concentration at the Silicon  or Polysilicon  SiOg interface     e n  is a unit vector normal to the Si SiO  pointing toward the silicon layer     Under steady state conditions  the three fluxes are equal     F   F    F    F  3 131    By dividing the flux by N4  the number of oxidant molecules incorporated in a unit volume of SiO    and  considering one dimensional growth  the growth rate of the oxide layer is given by    dxo _ F 3 132    dt N     where XQ is the oxide thickness  From Equation 3 127 and Equations 3 129 3 131  Equation 3 132 can  be expressed as       a PE E 3 133  dt A   2x9  where   A 2D A   3 134  effk h     C  B   2D  p gt  3 135  TN   Equation 3 133 is modified for thin oxides  less than 500 A  as follows   dxo__ B  R 3 136  dt A  2x9  where R is calculated according to  32    R   THINOX   0exp  OX     op  2   pers 3 137  kT THINOX      where P is partial pressure  THINOX 0  THINOX E  THINOX L  and THINOX P parameters are  specified in the OXIDE statement              Silvaco 3 45    ATHENA User s Manual       3 3 1  Numerical Oxidati
131.  selects that  all process steps will be done in a full two dimensional calculation  If the parameters are unspecified or  AUTO is used  ATHENA will then perform 1D calculation until a two dimensional calculation is  required  This is typically at the first ETCH statement  which doesn   t remove material across the whole  width of the structure           X LOCAT specifies the position within the defined 2D mesh for performing 1D simulation     CYLINDRICAL specifies the boundary conditions for cylindrically symmetrical structure  In this  case  the axis of rotation is X 0 0 and no negative x coordinates are allowed     Parameters Related to Initialization from a File    INFILE specifies a file name for reading  This file must contain a previously saved structure or  intensity distribution  see Section 6 63     STRUCTURE      IN  FILE is a synonym for this parameter     STRUCTURE and INTENSITY specify which type of file is to be initialized  STRUCTURE is the  default                    Grid and Structure Related Parameters    SPACE MULT specifies a global spacing multiplier to be applied to the spacings defined on the  previously specified LINE statements     INTERVAL R is the maximum ratio between the distances of adjoining mesh lines  The default is 1 5   RATIO is a synonym for this parameter           LINE DATA specifies that locations of mesh lines be printed during execution   SCALE allows an incoming mesh to be scaled  The default is 1 0   FLIP Y is a Boolean parameter th
132.  shown in Figure 2 45  the following RATE ETCH statement  will be inserted into the input file                   TESTO2 ETCHING MACHINE  RATE ETCH MACHINE TESTO2 SILICON U M WET ETCH ISOTROPIC 0 03                                              Deckbuild  ATHENA Rate Etch  GENERAL PARAMETERS  Machine name  TESTO        Material   Silicon    Machine type     wet Etch    Etch rates are in  Mimin    PARAMETERS FOR WET ETCH MACHINE TYPE       Isotropic rate   0 03 ooo O             Comment  TESTO  etching machine   tw RITE             Figure 2 45  ATHENA Rate Etch menu    If several materials are present in the structure to be etched  etch rates for each material type should  be specified in separate RATE ETCH statements                    Silvaco 2 63    ATHENA User s Manual       Table 2 5  Allowable Etch Model Parameters                   Parameters wet etch rie   isotropic yes yes  directional no yes  divergence no yes  chemical no yes                   Using A Specified Etch Machine       When etch rates for a specific machine are specified using RATE ETCH statements  you can simulate  the effects of the operation of this machine  To simulate the etch process using a specified etch  machine  open the ATHENA Etch Menu and check the Etching Machine box  The Parameters to  Run the Defined Machine section will appear in the menu  See Figure 2 46               Deckbuild  ATHENA Etch    Etch Method  Etching Machine    PARAMETERS TO RUN THE DEFINED MACHINE    Machine name  TESTO2  Ti
133.  solid solubility concentration point to those    already stored for the impurity  Units for SS  TEMP are   C  Units for SS  CONC are cm              CTN O and CTN E specify the vacancy clustering coefficients for the impurity  CTN 0 is the pre   exponential coefficient and CTN E is the activation energy  By default  these parameters are only used  for Arsenic        CTP 0 and CTP E specify the vacancy clustering coefficients     ACT FACTOR specifies parameter for concentration dependent solid solubility activation model  The  value of ACT   FACTOR must be between 0 8 and 1 0     TRACT 0  TRACT E  and TRACT MIN specify parameters of the transient activation model  Units  for TRACT   0 and TRAT   MIN are seconds  Units for TRACT  E are eV           Interface Transport Parameters           MATERIAL specify MATERIAL2 for the segregation and transport parameters on the boundary  between two materials  see Section 6 2 9     Standard and User Defined Materials    for the list of  materials            6 50 Silvaco    IMPURITY          SEG 0 and SEG E allow the computation of the equilibrium segregation concentrations  SEG  0 is the  unitless pre exponential constant  SEG E is the activation energy in eV                 TRN O and TRN E allow the specification of the transport velocity across the interface given  TRN 0 is  the pre exponential constant  units are cm sec   TRN E is the activation energy  units are eV      TRNDL O and TRNDL E specify parameters of the Interface Trap Mode
134.  specified in the INITIALIZE statement  The default is 1 5        DY SURF specifies the grid spacing in the surface region  i e   between y 0 and y LY SURF         FAST is equivalent to the AUTO  default  parameter in the INITIALIZE statement     Note  The MESH statement with Ly   SURF and other related parameters cannot be used together with LINE Y  statements           GRID FAC specifies a global spacing multiplier  which will be applied to all spacing parameters  when you generate a grid with the INITIALIZE statement  This parameter is equivalent to the  SPACE  MULT parameter of the INITIALIZE statement              LY SUREF specifies the depth of of the surface region in the default vertical grid  y direction    LY ACTIV specifies the bottom of the active region in the default vertical grid  y direction    LY BOT specifies the depth of the bottom of the structure in the default vertical grid        Silvaco E 3    ATHENA User s Manual       E 4  Using MASK statement with the parameter IN FILE and XLINES for    Automatic grid generation in the horizontal direction  This capability can be used only if ATHENA runs within DECKBUILD  If DECKBUILD encounters    the MASK statement with the parameters IN FILE  lt maskfile t11 gt  and XLINES  it recognizes  that the file should be in Taurus Layout Mask Data format                 The following information from the maskfile t11 are used to build the grid     e The scale factor  units per micron     e The minimum and maximum coordina
135.  statement contains DIR Y    direction  This gives 638 grid points and a different pattern of elimination  see the plot in the lower right corner of Figure 2 20      DIR    E        before  This will give the following Relax statement     20  Grids after Various Relax Operations    STRUCTUR  1 00 Y MIN       direction in the area below y 0 3  select the X d    the Area and Location Selections as    THE    20  and the elimination in X and Y     Figure 2    TDIR YSF    0 00 X MAX       ER HALF OF          1 00 DIR X                ELAX X MIN  Y   MAX    F    B         RELAX LOWER HALF ONLY IN X      RELAX LOW    R  In this case  the number of grid points is 567  The grid above y    If you don   t want the grid to be relaxed above y  Relax box  This will give the following R   To increase spacing only in the X   Note  The only difference is that instead of DIR Y     lower left corner of Figure 2       2 24    Tutorial          You can also apply several consequent RELAX statements to achieve grid elimination in different areas  of the structure     An important thing to remember about the RELAX capability is that it allows you to avoid creating  obtuse triangles and avoid relaxing directly on the material boundaries  This sometimes results in no  relaxation or grid relaxation in a subset of the desired area  The most desirable method for complete  control over gridding is by using DEVEDIT as described briefly in this chapter and in the VWF  INTERACTIVE TOOLS User   s Manual  Vol
136.  states   depends on the Fermi level location  8    39  and is given by    ie oer Bear  Ve   Ve        a aie                          where n is the electron concentration and n  is the intrinsic carrier concentration  and          g    JBAF EBK exp   BARPE 2i 2  3 161  kT  git   BAP EBKexp   PAR EPE  3 162  kT  g   JBAF EBK exp   BAB NE 3 163  kT  g   BAF EBKexp   BARNNE 3 164  aT    where t  o   6  and are fractions of the vacancy concentration which are positively  double  positively  negatively  and double negatively charged respectively    Figure 3 15 shows a plot of V   VA at 950  C for common silicon dopants  Notice that for n type dopants   V  V     increases as the doping concentration increases  but V V  remains essentially constant for    the p type dopant  The increase in V   VA for n type dopants increases the linear rate constant  This  ultimately leads to thicker oxides when oxidizing highly doped n type substrates due to a higher  availability of unoccupied silicon lattice sites  vacancies  for oxidant molecules to be incorporated    The oxide thickness trend is shown in Figure 3 16  where the SiO  thickness is plotted versus doping  concentration for common silicon dopants        Silvaco 3 55    ATHENA User s Manual       TonyPlot V2 8 18 A els    File v  View 7  Plot  Tools    Print  Properties 7  Help 7  CI          163 yoo T T   gt     x Antimony    qJ   4 4 Arsenic  G   E Boron  q    4 Phosphorus                            1e1 oping Concelftthtion  rem  3
137.  that only the top layer of the etched material should be etched     NOEXPOSE specifies that the new surface is not exposed for subsequent oxidation or deposition after  geometrical etch  Use this parameter to remove a part of the structure from the bottom or side of  simulation     Parameters used only with physical etching in the ELITE module   MACHINE specifies the name of the etch machine that is to be run    TIME specifies the time the etch machine is to be run    HOURS  MINUTES  and SECONDS specify the units of the TIME parameter   Parameters used only with RIE  WET ETCH  and PLASMA models    DT FACT is used with ELITE type etch calculations  By default  the movement of a string node is  limited to less than or equal to one quarter of the median segment length  This is a good compromise  between simulation speed and the danger of loop formation  The optimization factor DT  FACT must  not exceed 0 5  You can  however  decrease it if necessary for more accuracy              DT MAX is used to limit timesteps size  By default  the upper limit for the maximum timestep is one  tenth of the total etch time specified  This is a good compromise between calculation accuracy and  calculation time  But sometimes  it is useful to adapt this value to the specific simulation problem   Allowing the time steps to become greater gives a higher simulation speed but the accuracy may suffer   For smaller time steps  the simulation speed will decrease but the accuracy may be greater     DX M
138.  the IMAGE statement  If specified  the layout loaded with    sec file generated in MASKVIEwS will be shifted so its center is in the point  0 0    the origin of  coordinates for computational window  This parameter should be specified when  sec file is  generated from the GDS2 file where absolute coordinates of mask features could be arbitrary                                      Removed obsolete parameter NA in the EXPOSE statement  Nonvertical light propagation was not  implemented for non planar structures        Added new standard impurity HYDROGEN  The only practical application available in the moment is  Monte Carlo ion implantation of hydrogen    If you specify METHOD PLS before the Monte Carlo IMPLANT statement  the initial distribution of  impurity defect pairs will now calculate the same way as for analytical implants    Fixed a bug for the ETCH START CONTINUE DONE sequence when etched window width is zero  while using MASKVIEWS                          Fixed the bug for the case of deposion of ternary materials with variable composition fraction  For  example     deposit material InGaAs thick 0 50 div 20 c fract 0 1 f fract 0 5             Fixed a bug in analytical ETCH with the ANGLE parameter  In some cases when the THICKNESS  parameter exceeded the total thickness of the structure  a part of the etched layer was not  removed     Fixed a bug for the case when using EPITAXY C  INTERST  lt n gt  after ATHENA starts and before  any DIFFUSE statement               
139.  the calculation of the field inside the  complex structure can be divided into several subsequent calculations of the plane wave propagation  through the structure  The final field distribution is the sum of distributions obtained for all separate  plane waves  The simulation algorithm is outlined as below     1  Simulation of the incident field propagation through the target  resist   substrate    2  For each segment of each interface   e compute the direction of the reflection   e align the coordinate system and simulation domain with the direction of the reflection   e obtain the field distribution over the segment     e recursion of the propagation simulation procedure for the field generated over the surface  segment     3  Summation of the distributions obtained with all recursive steps     The reflection from each segment of interface is computed  using the preliminary obtained field on the  segment as the initial  incident  field  The recursion depth can be specified as a simulation parameter     The Beam Propagation Method  BPM   106  is used to simulate the field propagation  The BPM can be  used for different types of radiation  e g   UV  EUV  X ray  as well as for multi exposure processes and  multilayer and non linear resists     There are three reason why we choose this method  The first reason is because the diffraction of the  field along the propagation is automatically taken into account  The second reason is because it  includes a capability to simulate no
140.  the distance in microns that ETCH extends under a mask when dry etch is  performed  the default is 0      LEFT  RIGHT  ABOVE  and BELOW provide a quick means of etching with a trapezoidal cross  section  The etch region will be to the specified side  left right above below  of the line specified by the  coordinates given in P1 X  P1 Y and P2 X  P2 Y        P1 X  P1 Y  P2 X  and P2 Y allow you to specify a line for left right  above below etching  The P1  parameters are always required if left right above below are used  The P2 parameters are  required when the etch angle is non vertical  Units are microns     START  CONTINUE  and DONE specify an arbitrarily complex region to be etched  You can combine  several lines to specify the several points that make up the region  See the examples     X and Y specify a point in the start continue done mode of etch region specification  Units are  microns        6 32 Silvaco    ETCH       INFILE specifies that the etch profile will be taken from the filename specified by the INFILE  parameter  The specified file must have the following format        x  Yz  Xp Yo  X3 Y3  Xn Yn    This will etch the region enclosed by the boundary coordinates within the file  You can define any  number of coordinates within the file  This command is often useful for inputting data from digitized  experimental profiles or external programs  The closing line is automatically drawn from the final  coordinate point to the initial point     TOP LAYER specifies
141.  the exposure calculation  for repetitive line width calculations        2 9 6  Defining Material Properties    There are two statements in ATHENA OPTOLITH that relate to material properties  OPTICAL and  RATE DEVELOP  The OPTICAL command sets the complex index of refraction for a single material at a  given wavelength  The RATE DEVELOP command sets development rate parameters for each resist  defined in the resist library  Default values for these material parameters are located in the  athenamod file  which can be viewed in DECKBUILD by selecting the Models    item from the Command  menu  You can change any of these parameters by entering the command with the new values  For  example  to change the index of refraction of silicon at the wavelength of 0 365 um  enter                                      OPTICAL SILICON LAMBDA 0 365 REFRAC REAL 6 522 REFRAC IMAG 2 705                               To enter resist parameters at wavelength of 0 407um  use the RATE DEVELOP command as follows              RATE DEVELOP NAME RESIST AZ1350J LAMBDA 0 407    A DILL 0 88 B DILL 0 077 C DILL 0 018 E1 DILL 5 63    E2 DILL 7 43 E3 DILL  12 6                                        Photoresist parameters for development or diffusivity  DIX 0  DIX E  can be entered separately from  exposure parameters without specifying the wavelength  The photoresist name must always be  specified  When specifying Dill exposure parameters  A  B  and C   specify the wavelength as these  parameters vary with w
142.  the grain growth parameters PD   GROWTH   0 and PD GROWTH E are  specified in the IMPURITY statement  and t is the elapsed diffusion time     3 71                The segregation boundary condition at the polysilicon silicon boundary is also modified when  POLY DIFF model is used  The default segregation coefficient M79 in Equation 3 12 is increased by a    factor  which depends on the impurity concentration in the grain boundaries     M    M  1   PD SEG GBSI   3 72    i   b  ce  8  where M    9 is modified segregation coefficient and the PD SEG GBSI parameter is specified in the    IMPURITY statement           3 22 Silvaco    SSUPREM4 Models       3 2  Advanced Diffusion Models    The ultimate goal of TCAD simulation is to compute the electrical characteristics of a given device by  using only process related data as input parameters  Since the electrical characteristics of the device  are heavily dependent on the distribution of the electrically active impurity  dopants   resulting from  the entire thermal processing sequence  it is important that the diffusion models used in the process  simulation are as accurate as possible  This is particularly important for deep sub micron processes   Therefore for these emerging technologies  2D or even 3D phenomena are expected to be of growing  importance  whereas there is presently no accurate technique to measure multi dimensional dopant  profiles  Consequently  the active dopant 2D distributions can only be obtained by simulation
143.  the highest temperature anneals  a significant percentage of damage removal occurs in a fraction  of a second  Almost zero damage enhanced diffusion or total diffusion occurs in this instance  and the  anneal time to remove the damage is very short  Extrapolating between these extremes provides a  qualitative explanation of what occurs for intermediate temperature anneals     Two important points have now been established     1  For sound device physics reasons  most RTA processes consist of high temperature  short duration  anneals     2  Damage enhanced diffusion will only occur for a few seconds at typical RTA temperatures     For accurate simulation of RTA  the second point is most important and often wrongly neglected   Suppose an RTA consists of a 10 second ramp up to 1000  C  followed by a 20 second anneal and a 10  second cool down  From the second point  it is apparent that most of the Total Dopant Diffusion would  have taken place during the Ramp Up Phase of the RTA     Therefore  always model the temperature ramp up accurately when simulating an RTA process  In  most cases  the ramp down can be neglected  since all the diffusion has already taken place at the  beginning when the silicon was still damaged        2 38 Silvaco    Tutorial       2 4 7  Simulating Oxidation    It has already been stated that the pull down menu for simulating oxidations is the same as that for  simulating inert diffusions described in the    Simulating Diffusion    Section on page 2 37 
144.  the implanted ion concentration multiplied by DAM  FACTOR              PRINT MOM prints out moments for all ion material combinations used in the analytical model  In  the case of Monte Carlo simulation  it prints out moments calculated from the coordinates of ion in the  standard structure file and can extracte them by the EXTRACT function     DAM MOD specifies the name of the C Interpreter file  which can be used to modify defect  concentration models        Parameters Applicable Only for Analytical Implant Models    X DISCR specifies the width of slices along the direction of the ion beam used to calculate the  implanted profile  The value used is scaled relative to the lateral straggling of the current implant  By  default  a slice width of between 0 1 and 0 2 of the average lateral straggle will be used  This  parameter allows you to override the internal selection of discretization along the implant front  If the  value of X DISCR decreases  simulation accuracy and simulation time will increase     LAT RATIO1 specifies a factor by which all lateral standard deviations for the first Pearson  distribution would be multiplied  Default is 1 0     LAT RATIO2 specifies a factor by which all lateral standard deviations for the second Pearson  distribution would be multiplied  Default is 0  2     Note  The LAT  RATIO  parameters provide simple scaling of the default lateral standard deviation  Use the MOMENTS  statement for more complete lateral standard deviation modification
145.  tion through a native oxide layer  0 5 1 5nm   while the parameters at 10keV correspond to  implantation into a bare silicon surface  i e   silicon wafer subjected to an HF etch less than two  hours before implantation      e For implant energies below 5keV  the models for boron  BFy  and arsenic have not been verified    experimentally  The simulations in this range of implant energy are performed using an interpo   lation between experimentally verified Dual Pearson parameters at 5keV and parameters based  on UT MARLOWE estimates at 0 5keV        e The SIMS measurements upon which these profiles are based have a concentration sensitivity    limit in the order of 5x 10    to 2x1 ae   increasing with dose from the implant  The pro   files have been extended below these limits  following the trends that occur within the sensitivity  limits of the SIMS     e The screen oxide thickness range has been verified from 1 5 to 40nm  only for boron and 15     80keV energy range   But the oxide range has been extended to 50nm        Silvaco    ATHENA User s Manual       Screen Oxide Thickness Parameter  S OXIDE        To specify screen oxide  use the S OXIDE  um  parameterin the IMPLANT statement  This thickness is  specified independently of any actual surface oxide in the structure  It is  however  possible to  automate the extraction of the surface oxide thickness for use with the IMPLANT statement  An  example is supplied demonstrating this        S OXIDE is another parameter for d
146.  to the standard structure file in Version 3 of ATHENA the structure  files created by Version 3 are not compatible with previous versions of ATHENA  Structure files cre   ated by old versions of ATHENA can be read by Version 3 of ATHENA     Adaptive Meshing Capabilities    A 2 D mesh adapting module has been incorporated into ATHENA  The module is invoked by  specifying boolean flag ADAPT on the METHOD statement preceding IMPLANT  DIFFUSE  or  EPITAXY statements  or by specifying boolean flag ADAPT on the ADAPT MESH statement to do  stand alone mesh refinements  A mesh smoothing algorithm has also been integrated into the module  to improve the mesh quality after mesh adapting or after normal deposit etch oxidation  silicidation   diffusion process steps  A set of parameters can be specified on ADAPT PAR statement to adjust the  mesh adapting process     The parameters available on the METHOD statement are as the following   e Boolean ADAPT specify that the adaptive meshing should be performed on the following IMPLANT   DIFFUSE or EPITAXY statements  default false      e Boolean DEPO SMOOTH specify that the mesh smoothing should be performed after each  DEPOSIT statement     e Boolean ETCH SMOOTH specify that the mesh smoothing should be performed after each ETCH  statement        Boolean DIFF SMOOTH specify that the mesh smoothing should be performed after each DIFFUSE  statement     e Boolean STEP SMOOTH specify that the mesh smoothing should be performed after each time s
147.  total diffusion time  Simulations show that a depth of  20 to 50 microns is required in most cases  This restriction on the minimum structure depth poses a  threat to computational efficiency  whenever diffusion models that include point defects are employed   But since the fine structure of the defect profiles near the bottom of the structure is not a feature of  particular interest for processing purposes  you can reduce the computational cost by making the grid  very coarse in this region     Time Step Control    When using diffusion models that include the explicit representation and evolution of point defects  be  aware of time stepping issues  Although step size control between iterations is fully automated  you  can still specify the size of the initial time step  Different initial time step sizes can be specified for  dopants and point defects  respectively  by using the parameters INIT TIME and PDINIT TIME  For  example  the command          METHOD INIT TIME 0 001 PDINIT TIME 0 001          would set the initial time step to 1 millisecond for both dopants and point defects  Default values are  INIT  TIME 0 1 seconds and PDINIT TIME 1 0E 5 seconds                       Note  There is no guarantee that the program will actually use these values  For this initial time step  the only purpose of  these parameters is to make it feasible for you to give the program a hint about an appropriate initial time step size     3 1 5  The Fully Coupled Model    The Fully Coupled Di
148.  undoped silicon equal to 5 0 1022 cm  For layers with graded germanium content  use an  additional parameter  F   GERMANIUM  in the DEPOSIT statement  The following statement           DEPOSIT SILICON THICK 0 1 DIN 10 C GERMANIUM 1e20 F GERMANIUM 5e21  C CARBON 1e19             will create the 0 1pm layer of Si _ Ge C  with constant carbon concentration of 1 0 1019 cm  and with    germanium concentration varying from 1 0 102   cm at the bottom of the layer to 5 0 102  cm at the  top of the layer  This corresponds to Ge content x varied from 0 2  to 10      3 9 2  Boron Diffusion in SiGe SiGeC    The special model takes empirically into account experimental facts that boron diffusivity apparently  decreases with germanium and carbon content  The total boron diffusivity  see Equation 3 10   decreases exponentially with the Ge content  x  and carbon content  y     7 BP EAFACT   SiGe  y   EAFACT   SIGE      DplSiz_y_yGe C   DplSilexp   2P EAFACT  SiGe ty BARACT  SIGE 3 241    Ng kT    Another effect taken into account in this model is variation of intrinsic carrier concentration n  with x  and y  It is presumed that n  increased with x and decreased with y     n  Si Ge C    n  Si  qX NIFACT   SIGE   7  X  NIFACT   SIC 3 242  1  x y x y 1 Noj Noi    The user defined calibration parameters  EAFACT and NIFACT  for the above equations are specified in  the MATERIAL statement for silicon           As an alternative to Equations 3 241 and 3 242  you can use different dependencies for 
149.  value of  A at a new z position     A k ky  z  Az    A k  ky  z exp ik Az  5 56  In this equation  the z component of the wave vector is derived by     k  Sek 5 57    Now the inverse Fourier transform will produce the distribution of the field amplitude over x  y plane  at z Az  i e   at the gap distance from the mask      Finally  the required intensity distribution is the square of the module of the field amplitude A        Silvaco 5 17    ATHENA User   s Manual       This page is intentionally left blank        5 18 Silvaco    Chapter 6   Statements          6 1  Overview    ATHENA executes a file that describes the process  meshing  and models to be used in a simulation   The contents of the file are statements  each of which prompts an action or sets a characteristic of the  simulation  This chapter is a reference to the command language that can be used to control ATHENA     Throughout this manual  we will refer to commands  statements  and parameters  A line in an input  file is referred to as a statement  or statement line      An ATHENA statement is specified in the general format        lt COMMAND gt   lt PARAMETERS gt   lt VALUE gt                       where  lt COMMAND gt  is the command name   lt PARAMETER gt  is the parameter name  and  lt VALUE gt  is the  parameter value  Four types of parameters are used in ATHENA     Real  Integer  Logical  and  Character  The space character is used to separate parameters from a command or from other  parameters          
150.  vol  63  no  1  p  116  1988     M  Yoshida  E  Arai  H  Nakamura  and Y  Terunuma   Excess vacancy generation mechanism at  phosphorus diusion into silicon   J Appl Phys   vol  45  no  4  p  1498  1974     S  Solmi  F  Barualdi  and R  Canteri   Diffusion of boron in silicon during postimplantation  annealing   J  Appl  Phys   vol  69  no  4  p  2135  1991     B  Colombeau  Interactions entre les d  fauts   tendus et anomalies de diusion des dopants dans le  silicium   mod  le physique et simulation pr  dictives  Th  se de doctorat  Universite Paul Sabatier   Toulouse   Septembre 2001     P  Fastenko  Modeling and simulation of arsenic activation and diusion in silicon  Ph  d  thesis   University of Washington  2002     B E  Deal  and A S  Grove     General Relationship for the Thermal Oxidation of Silicon     J  Appl   Phys  v  36  p  3770  1965     D  Chin  Two Dimensional Oxidation  Modeling and Applications   Ph D Thesis  Department of  Electrical Engineering  Stanford University  1983     H Z  Massoud  Thermal Oxidation of Silicon in Dry Oxygen Growth Kinetics and Charge  Characterization in the Thin Regime  Technical Report  Stanford Electronic Laboratories  Stanford  University  1983     H  Eyring     Viscosity  Plasticity  and Diffusion as Examples of Absolute Reaction Rate     J  Chem   Phys  v  4  p  283  1936     B E  Deal     Thermal Oxidation Kinetics of Silicon in Pyrogenic H20 and 5  HCI H20 Mixtures     J   Electrochem  Soc  v  125  p  576  1978     D W  Hes
151.  want to save the  structure information generated after key process steps  e g   final structure     To save or load a structure  use the ATHENA File I O Menu  See Figure 2 25  by selecting  Commands     gt File I O     Specify a file name  the file extension   str is recommended for all ATHENA  structure files  and press the Save button  The following line will appear in the input file        STRUCT OUTFILE TUTOR STR       You can reload this file  tutor str  back into ATHENA at any time during the current DECKBUILD  session or during any subsequent session  To reload the structure file  press the Load button on the  ATHENA File I O menu  The following INIT statement will appear        INIT INFILE TUTOR STR          2 28 Silvaco    Tutorial       Deckbuild  ATHENA File I O    flip y    Intensity        Format     File name  tuter str        Figure 2 25  ATHENA File I O Menu    Note  Only the structure will be reloaded if ATHENA is restarted before this INIT statement  Any parameters or  coefficients that were set during previous simulations must be reset if they are needed  This structure file can also be used by  any device simulator or DEVEDIT        Silvaco 2 29    ATHENA User s Manual       2 4  Choosing Models In SSUPREM4    2 4 1  Implantation  Oxidation  RTA  Diffusion and Epitaxy    This section describes how to simulate process steps  e g   implantation  diffusion oxidation  epitaxy   and silicidation  specific to the SSUPREM4 module of ATHENA  Also discussed  are 
152.  where silicon  oxide  and nitride coincide together at a point   The  default for the split angle is 22 5    The SPLIT ANGLE parameter for triple point oxidation is material  dependent  Specify the oxidizing MATERIAL1 without a        and MATERIAL2 with a         using the  following format  OXIDE SPLIT ANGLE 35 SILICON  NITRIDE  There are only three possible  combinations and they are SILICON  NITRIDE  SILICON  POLYSILICO  and POLYSILION   NITRIDE  You can use this to control lateral encroachment during oxidation                             Parameters of the Analytical Oxidation Models  ERF      SPREAD and MASK EDGE are used only in the error function approximation to a bird   s beak shape   SPREAD is the relative lateral to vertical extension  which defaults to 1  The fitting parameter makes  the    erfc    bird   s beak look realistic  MASK EDGE is the position of the mask edge in microns and  defaults to negative infinity  Oxide grows to the right of the mask edge     ERF Q and ERF DELTA are the DELTA and Q parameters for the    erfg    model  Normally  you don   t  need to change them but they are available if necessary     ERF LBB is the length of the bird   s beak and applies to the    erfg    model only  It can be specified as an  expression in Fox  the field oxide thickness  um    eox  the pad oxide thickness  um    Tox  the  oxidation temperature  Kelvin    and en  the nitride thickness  um   The published expression can be  found in the models file  Specifying ERF
153.  will stop   units  cm      e Float EDGE MAX specifies the maximum edge length below which deleting points will stop   units  cm      e Integer MAX POINT specifies the maximum number of points above which adapting will stop   e Integer MAX LOOP specifies the maximum loop count above which adapting will stop  effective       D 16 Silvaco    ATHENA Version History       only with implant   The parameters available on the ADAPT MESH statement are as follows     e Boolean ADAPT specify that a stand alone adaptive meshing step should be performed to refine or  relax the current mesh based on the material impurity specification given on ADAPT PAR state   ment   default false      e Integer ADAPT COUNT specifies the number of adapting loops during the stand alone adaptive  meshing operation   default 1      e Boolean SMOOTH specifies to do stand alone annealing  default false      e Integer SMTH COUNT specifies the number of smooth loops during the smooth operation   default  1      e Float ADD I LINE specifies that a mesh line is to be added at the interface between two materials  as defined by the booleans MATERIAL1 and  MATERIAL2  The line is added in MATERIAL 1 a dis   tance ADD I LINE from  MATERIAL2  Boolean SILICON  OXIDE      Specify materiall for  ADD I LINE     e Boolean  SILICON   OXIDE      specify  material2 for ADD I LINE   SSUPREM4 Capabilities    e Oxidation enabled for polysilicon diffusion model     e Vacancy and interstitial diffusion in polysilicon have been deco
154.  you can substitute this with conformal oxide deposition  Select Oxide from  the Material menu and set its thickness to 0 02 um  It is always useful to set several grid layers in a  deposited layer  In this case  at least two grid layers are needed to simulate impurity transport  through the oxide layer  In some other cases  e g   photoresist deposition over a non planar structure    a sufficiently fine grid is needed to accurately simulate processes within the deposited layer  There are  also situations  e g   spacer formation  when several grid layers in a deposited material region are  needed to properly represent the geometrical shape of the region     The grid in the deposited layer is controlled by Grid Specification parameters in the ATHENA  Deposit Menu  Set the Total number of grid layers to 2  add a Comment  and click on the Write  button  The following lines will then appear in the Deckbuild Text Subwindow          GATE OXIDE DEPOSITION  DEPOSIT OXIDE THICK 0 02 DIVISIONS 2                   The next step will be to deposit a phosphorus doped polysilicon layer of 0 5um thickness  Select the  material Polysilicon  and set the thickness to 0 5  To add doping  select the Impurities box  The  Impurity Concentration section will be immediately added to the ATHENA Deposit Menu  See  Figure 2 13         Silvaco 2 17    ATHENA User s Manual       E Deckbuild  ATHENA Deposit       Material  Palysilicon    User defini        Thickness  um   0 50 0 00 mmm     100    Grid spec
155. 10  Resulting Structure from a LOCOS Oxidation step using the Compress Model    3 3 3  Viscous Model    The Viscous Model solves the same flow equations as described in the previous section  This model is  activated by specifying the VISCOUS parameter in the METHOD statement prior to the DIFFUSE  statement  The Viscous Model calculates stresses in the growing oxide and creates almost the same  shape for the silicon oxide interface as does the Compress model     The stresses in the oxide are calculated as follows        VISC   2  VISC 0  gt    exp eE Ov Ov  C56 eee 3 144  XX YY 1 2 POISS R x       Ov  a  o o    2  VISCO ap ECE   gt  tme  3 145  xx    yy kT  amp      ov  oO  E    VISC  x i  o    VISC 0 exp SCE  23  3 146    a          3 48 Silvaco    SSUPREM4 Models       where v  and v  are the x and y components of flow velocity v respectively  VISC 0 and VISC E are the          pre exponential and activation energy  respectively for viscosity  are specified on the MATERIAL  statement     The stress calculated by the Viscous oxidation model replace stress that may have been previously  generated by the STRESS HIST parameter in the STRESS statement           The stress dependent nonlinear model based on Eyring   s work  33  allows a description of the real  shape of LOCOS profiles with kinks on the interface  The model is turned on by specifying the  STRESS DEP parameter the OXIDE statement  Using Equation 3 140 and Equations 3 143   3 146  the  non linear solver first finds a
156. 1000 F H20 5 3 F HCL 0 06 F 02 8 0    PRESS 1 00                         One or several impurities can be present in the ambient  To set ambient in the Impurity  Concentration section of the ATHENA Diffuse Menu  See Figure 2 30   check the corresponding  checkboxes  and set the values using sliders and the Exp menus           For example  by selecting the appropriate boxes and values  the following DIFFUSE statement will be  inserted into the input file          FIELD OXIDE  DIFFUSE TIME 100 TEMP 850 T FINAL 1060 WETO2 PRESS 1 00    HCL PC 0 C ARSENIC 9 0E19 C PHOSPHOR 4 0E20                                           Several other parameters not included on the menu are available in the DIFFUSE statement  Chapter  6     Statements     Section 6 15     DIFFUSE      The DUMP  DUMP  PREFIX  and NO DIFF parameters  can be useful  DUMP and DUMP   PREFIX can be used to make a movie using TONYPLOT  The NO DIFF  parameter specifies that impurity redistribution will be neglected  This provides a good approximation  for low temperature processes  such as silicidation                                Silvaco 2 39    ATHENA User s Manual       Several other model specification statements are important for diffusion processes  These are as  follows           e IMPURITY  INTERSTITIAL  and other impurity and point defect statements  which specify model  parameters  e g   diffusivity or segregation  of these species     e The OXIDE statement  which specifies parameters for different oxidation 
157. 20 f 02 1 f N2 10  fHCL  5 Modify process  description to  produce desired  characteristics  at key locations                           asi a   T  pe   K a oS Simulate the  N  Nt pt pt complete 2D  P N process only  once   pt Nt  P   Substrate          Figure 2 42  Use of One Dimensional Mode    2 8 2  Deposition and Wet Dry Etching using the Physical Models in ATHENA ELITE    This section describes the deposition and etch capabilities of the ELITE module of ATHENA using  ATHENA ELITE default machines    To use ATHENA ELITE s physically based deposition and etch models  use at least one of the following  steps     1  Use one of the predefined machines described in Chapter 4     ELITE Models     Section 4 4     Etch  Models       2  Within your input file  modify the specification of one of these predefined machines to fit your  process   3  Define a custom machine   4  Invoke a prepared file that defines machines of interest    For example  one of the predefined machines is named PE4450  This machine deposits aluminum at a  rate of 1 micron minute from a hemispheric source     To simulate the effects of two minutes of operation of this machine  open the ATHENA Deposit Menu  and select the Machine checkbox  The section PARAMETERS TO RUN THE DEFINED MACHINE  will appear in the menu  See Figure 2 43   In this section  specify PE4450 as the Machine Name  the  Time of run  2 0   and the time units  menu box beside the Time of run field  as minutes        We recommend that you spec
158. 201613x102    1150  204041x102    1175  2 6643x102    1200  2 9423x102                              Silvaco    B 7    ATHENA User s Manual                               Table B 14  Solid Solubility in Silicon 124    125   Temperature Boron Phosphorus Antimony     C   cm   cm   cm   1225  3 2387x10     1250  3 5536x102   6 6200x1019  1275  3 8876x107               B 6  Point Defect Parameters    These parameters are for silicon and polysilicon only        Table B 15  Point Defect Parameters  126                                         Bulk Parameters Interstitial Vacancy  D O 600 0 0 1   D E  eV  2 44 2 0  CSTAR 0  cm  gt   5 0x1072 2 0x1023  CSTAR E  eV  2 36 2 0   KR 0 3 16x10     3 16x10     KR E  eV  2 44 2 44                Table B 16  Point Defect Parameters                                                                Charge State Information Interstitial Vacancy  NEU 0 1 0 1 0  NEU  eV  0 0 0 0  NEG 0O 5 68 5 68  NEG E  eV  0 50 0 145  DNEG  0 0 0 32 47  DNEG E  eV  0 0 0 62  POS 0 5 68 5 68  POS E  eV  0 26 0 45                      B 7  Defect Interface Recombination Parameters       Table B 17  Defect Interface Recombination Parameters                                        Silicon oxide Interstitial Vacancy  KSURF   0 1 76x10   4 7 0x108  KSURF E  eV  0 06 4 08  KRAT  O0 1000 0 0 0  KRAT E  eV  0 0 0 0  KPOW  0 0 5 1 0  KPOW E  eV  0 0 0 0                B 8    Silvaco    Default Coefficients          Table B 17  Defect Interface Recombination Parameters          
159. 25028 2 759 2102          B 1 6  Doping Dependence Of Oxidation Rate       Table B 6  Doping Dependence of Oxidation Rate                                              Parameter Value  BAF   EBK 241 6  BAF   PE 0 46  BAF   PPE 1 0  BAF  NE 0 145  BAF  NNE 0 62  BAF  KO 2 6e3  BAF   KE Tt                See the bibliography reference  37  for more details     B 1 7  Coefficients for the Analytical Guillemot Model    l                                        Table B 7  Coefficents for the Analytical Guillemot Model  Parameter Value  Spread 1 0  INITIAL 0 002  ASK EDGE  200  ERF Q 0 05                Silvaco    B 3    ATHENA User s Manual          Table B 7  Coefficents for the Analytical Guillemot Model                      Parameter Value  BRE  DELTA 0 04  ERF   LBB  8 25e 3   1580 3   Tox              Eox  0 67   eox  0 3  exp      en  0 08  2  0 06            ERF H  402   0 445   1 75 en   exp     Tox  200                 See the bibliography reference  40  for more details     B 1 8  Numerical Oxidation Coefficients       For Dry Oxidation  HENRY  COEFF 5E16 THETA  2 2E22 TRN 0  1E 3                          For Wet Oxidation  HENRY COEFF  3e19 THETA  2 2e22 TRN 0  let6        B 1 9  Stress dependent Growth Model Coefficients  Ve   78  Vr   9 7  Vd  1 9  Vt   0 0  Dlim   1 0    See the bibliography reference  120  for more details     B 1 10  Mechanical Parameters For Stress Calculations                                                                   Table B 8  Parameters t
160. 3 1  In Table 3 1  the x  designates the neutral charge state    is a single negatively charged state    is a double negatively  charged state                    Table 3 1  Notational standards in diffusion literature  Physical Entity Generic Symbol Replacement Values  Dopant A B  P  As  Sb     Point Defect X IL  V  Charge State c X                                   3 2    Silvaco    SSUPREM4 Models       Many physical entities or parameters are temperature dependent  In ATHENA  this dependence upon  temperature is modelled by the Arrhenius expression  unless otherwise indicated      OCT    0 0exp  25   3 1    where     e Q 0 is the pre exponential factor   e Q E is the activation energy   e kis the Boltzmann constant     e T is the absolute temperature   Generic Diffusion Equation    All diffusion models  whether they are the Fermi  the two dimensional or the fully coupled model   follow the same generic mathematical form of a continuity equation  A continuity equation merely  expresses particle conservation  that is  the rate of change with time of the number of particles in a  unit volume must equal the number of particles that leave that volume through diffusion  plus the  number of particles that are either created or annihilated in the volume due to various source and sink  terms     This basic continuity equation for the diffusion of some particle species  C  in a piece of semiconductor  material is a simple Second Order Fick   s Equation  9         oC  seb   VJ  S 26 
161. 3 86 Silvaco    SSUPREM4 Models       In ATHENA  trajectory splitting is turned on with the SAMPLING command in the IMPLANT statement   For more information this statement  see Chapter 6     Statements     Section 6 28     IMPLANT        Theoretically  sampling estimators are unbiased and consistent  In practice  however  the estimate is  obtained as the average of finite number of samples  as opposed to the theoretical expectation  which is  obtained from the whole ensemble of sample paths  including even the very unlikely ones  Overbiasing  can occur if the only goal in mind is to increase the probability of the event that needs to be analyzed  further as is the case of trajectory splitting ion implantation simulations  The result of overbiasing is  usually the underestimation of the probability to be evaluated  dopant concentration in case of ion  implantation   In fact  it has been reported in  76  that when the splitting parameters are not  consistent with the system s large deviations behavior  the probability in question may be  underestimated by several orders of magnitude  This situation is almost present in ion implant  simulators when treating multi layered targets and two dimensional layouts  Therefore  use splitting  with caution     In conclusion  you should bare in mind that     e increasing the probability of occurrence of the event to be analyzed is not always enough to  guarantee variance reduction     e trajectory splitting should be used carefully     e co
162. 30min    Simulation As implanted with SVDP  Simulation with full PLS model      Total Boron    E Active Boron  Simulation with classical   IC model  Simulation with classical model             Concentration  cm               0 0 1 03 04       02  Depth  ym     Click to placa  P chargas alignment or drag to get bader    SILVACO International 2003          Figure 3 7  Simulation of 35 minutes boron diffusion at 800  C after an implantation at 20 keV  with a dose of 5   1014 cm    Experimental data are extracted from  27      As shown in Figure 3 7  PLS model results in an excellent fit with the experimental annealed curves   The comparison of chemical and electrically active boron concentration shows that even below the solid  solubility  most of the dopant stay inactive due to the formation of mixed dopant defect clusters  By  comparing results obtained with only CDD or IC model with the full PLS  IC   DDC   it can be  concluded that this type of clusters also consume free interstitials which leads to reduction of the  boron diffusion  This fact justifies one more time that all three parts of the model  CDD  interstitial  clusters and mixed dopant defect clusters are needed in simulation of post implant diffusion        Silvaco 3 41    ATHENA User s Manual       Experiment with boron implanted at 2 keV    A most aggressive technology simulation has been carried out with a 2 keV boron implant with a dose    of 1014 cm  followed by a RTA at 1000  C during 10 seconds  This type o
163. 31 C  Sacer  Clustering  DDO                      oa Changing the Method Statement During the Process Flow  j E A Switching Guidelines           cccsesesetseeeseteteteeseeeeeees 2 31 32  Solid Solubility   saceczeess2ecvaseesd Seesezesstseiheeeh eases anak 3 31  Vacancy Cluster  VC        eesceesceseseseeeeeeeeeeneeeeeeteaeeeeeeeenees 3 33 Charge States  See also PLS Diffusion Models CNET Rind Se aa Cet eae iian 3 24 25  Advanced Features Chemical and Active Concentration Values            ecceeceeeeeeees 3 1  Deposition and Wet Dry Etching            scsccscsseseeseseeseeee 2 59 64 Chemical Mechnical Polish  CMP   MESRV IB WS sineira etniaren teianei eaa tonade 2 65 73 Hard Polish Model       sssssssssssssrrrrrreessssrrrrreeesssenrrreres 4 21 22  Structure Manipulation POO E NEEE TAAA D E 2 56 59 Soft Polish Model ni ern e a a a ia 4 23 24  Analytic Implant Models C Interpreter EEE OE A EE E E E E 3 89  4 1 9  A 1 2  Dual Pearson s siete wisi Me 3 68 CNET Charge States  Gaussians  sete erste het atid ated nce tie tesa 3 66 Dopant Defect pairs                ccsecceceseeeeeeceneeeereeeners 3 25 26  P arSON en en ee Le 3 66 68 Point Defects idin 2a veil newindian 3 24 25  Screen Oxide Thickness Parameter  S OXIDE              000 3 70 CNET Flux Equations  SIMS Verified Dual Pearson  SVDP  Model              seseee 3 69 Dopant  Defects Pairs            ssssssscsscsessessesssssssseeesees 3 27 28  Arrhenius  XpreSsion             ccesscceceeseceseeeeeseeeeeseneeseseeeeeseeers 3 3
164. 311 E must be specified as negative  since the time constant  decreases with rising temperature           Silvaco 3 11    ATHENA User s Manual       Interstitial Generation and Recombination at Interfaces    Interfaces present a moving boundary problem during a thermal oxidation  In this instance  there will  be a recombination rate at the interface  which will vary as a function of the interface velocity  Also as  a consequence of the silicon being consumed  there is a significant injection of interstitials into the  substrate  Within ATHENA  this is modelled by an interstitial flux boundary condition  as described by  Hu  13      O  Cr  KIG     GF    G  3 35    where   e    Cris the projection of the interstitial flux vector on an inward pointing unit vector normal to  the boundary   e Kg is the effective surface recombination rate for interstitials   e Gy is the generation rate at the interface of interstitials during annealing in an oxidizing    ambient     In other words  Equation 3 35 shows that the number of interstitials generated on the surface  minus  the number of interstitials that recombine there  must equal the number of interstitials that diffuse  from the surface interface into the substrate     The effective surface recombination rate  Kg  depends on the motion of the interface during oxidation  according to        vi Kpow  Ks   Kovar Kaar   n i 3 36  Yi max  where v  is the velocity of the interface  Vimax is the maximum interface velocity  and the parameter
165. 4 14  4 57 Reflow  Mod  l rinira a a a E Wand Sn Wass E ale Wad a a are 4 20  4 6  Chemical Mechanical Polish  CMP           0 ccceeee eee eee eee eee eee eee eens 4 21  4 6 1  Hard Polish Model   4 nye sire ute Fel BAS thos tet ae Sita eee eis Le Be he ah ease 4 21  4 0 2  SOM OSHIMOUEL 42g 28 ace ot OU ee Pee OG elites Mee ln Mee Laat OPS tet Lal ae So 4 23  Chapter 5  OPTOLITE MOdel    v is cace as gendone cy eta cise oie ee ewe wee oa eek ve 5 1  BIPOVEIVIOWS natu aed choi ote Ae aide MU elt A tate eek Le cbt lane Make ie ciety 5 1  5 2  The  Ima  ing Module inuri rirerire etenin wer Uae wa tan od CRRA eae T A 5 2  5 3  Optical  System  ssis arena E a a Ea a EDA AEE ATE EA a AE aca E 5 7  5 3  T  Dis  retization EMG sri a aa a an a r A e EE Otic 5 7  We Me Sree reae cas tae See A ana a a Aa ek ot p O Re cone es cle EE AS E 5 7  5 33  Computation TMe aee 8  28 8 a Ve Race ace Boat cate ir e ek cae ee R 5 8  5 4  The  EX DOS UG  WOM Gece peste ari ao wh dchondae evn Shute a we Arteaga shag da era ane Gere age ad ate eae 5 9  5 5  Photoresist Bake MOGUIG  icici s cccse snisce a Sasahe data Ge cea be date E a DER EA e Did 5 12  5 6  The Development Module        aie wit waren Se ae ann a ae ee Sa ee Wwe 5 13  5 6 1  Dil   s Development  Model 020072   y etn ey eos ew daue deena eerie gis e eras yen yg bans 5 13       Silvaco vii    ATHENA User s Manual       5 6 2  Kim Ss Development Model   pict uk Sl ol Ue aot aN Oh eM Te TE iat Sa Ai  2 5 13  5 6 3  Mack   s Development  M
166. 45  Depth  um     Click te place   P changes alignment or drag to get leader B SILVACO International 2003          Figure 3 6  Simulation of the Pelaz experiment using various parts of the PLS model   Experimental Data are  extracted from  24      The results obtained with the full PLS model correspond to the experimental data  As expected  the  boron at the highest concentration stay immobile and are still inactive due to the formation of mixed  BICs  Moreover  it is clear that only the full model can explain this behavior  Therefore  CDD and IC  models cannot simulate this particular phenomenon without DDC model involved        3 40 Silvaco    SSUPREM4 Models       Implantation Diffusion Experiment    The analysis above proves validity of each part and the entire PLS diffusion model  In addition  we  present several simulations relevant to specific processes important in the modern VLSI technologies     Experiment with boron implanted at 20 keV    Boron implantation at 20 keV is widely used in silicon technologies  Since the experiment setup  involves low temperature  such as 800  C  the effects of boron immobilization and disactivation at high  concentration take place  Therefore  you must use three parts of the PLS model        K TonyPtot 28 14   lt 2  ray  File    view v  Plot 7  _Teols 7     Print     Properties      Help         4 Boron Diffusion after implantation  Medium energy implant   furnace annealing  20         Ac implanted  B 20keV SE14em   Annealed at 800  C 
167. 6 65  TONYPLOT a a Ss eRe hte te ie tok ee eR eet ee a EA 6 110  6 66  TRAP ascii eh chit a iets peated ik errs ay EE Maso veered goes 6 111  6 67 UNSEIMODE vus ineei a act e see ay eee cian Sate A die inte Mateo Desiree swe Sa aE 6 112  Appendix A  G INIEI DICER   seine rre was emanane cut ee eet heels Devore tess A 1  A 1  C Interpreter Overview     2 6 0  oe ec fon cee ben Vee cee ed cent ke dewe ed eine vs enwv nee ive der deus A 1  A2  EXAM Plen ronne ine e EE E A O OY A 1       Silvaco ix    ATHENA User s Manual       Appendix B  Default  Coefficients rinena tenset say san sie am oe tee OA aa eet B 1  B 1  Oxidation  Rate Coetticient       235 20 sccsa es castes eee lee ees ide ete ese eee iad ee tae as B 1  B 1 1  Dry Ambient For  lt 111 gt  Orientation          5 ates oF ieee  eth Raat nae Picard ot merece oes a l8 B 1  B 1 2  Wet Ambient for  lt 111 gt  Onientatonics 08 ccc divudcesesies tee balks iat Det dea se ieee des B 1  B 1 3  Orientation Factors For Linear Coefficients  both Ambients              000  c cece eee eee eee B 2  B 1 4  Pressure Dependence  naii io 8  5 2 Patt Gated Shi oe eee eee oe nae E EE es B 2  B 1 5  Chlorine Dependence   ccccase ac kn cx  neers ensiareet haha RAS San yard ea Aa ay A eevee eve Janke ts B 3  B 1 6  Doping Dependence Of Oxidation Rates  fe cot dards tetaas arden pes eee B 3  B 1 7  Coefficients for the Analytical Guillemot Model               0  ccc e eee teeta nee B 3  B 1 8  Numerical Oxidation Coefficients    2 0 2 0    ccc
168. 66  DIP O  em2 s   0 0 0 0 0 72 0 0  DIP E  eV  0 0 0 0 3 46 0 0  DIM 0  cm s   15 0 12 8 0 0 4 44  DIM E  eV  4 08 4 05 0 0 4 00  DIMM 0  cm2 s   9 0 0 0 0 0 44 2  DIMM E  eV  0 0 0 0 0 0 4 37  CTN 0  cm s  5 19x10724  CIN E  eV  0 60  FI  unitless   0 05 0 20 0 94   Polysilicon  DIX 0  em2 s   21 4 6 6 3 66 385 0  DIX E  eV  3 65 3 44 3 46 Bi 6 6  HIP  0  cm s    0 0 0 0 72 0 0 0                            Silvaco B 5    ATHENA User s Manual          Table B 11  Impurity Diffusion Coefficients                                                                                           Parameter Antimony Arsenic Boron Phosphorus  DIP E  eV  0 0 0 0 3 46 0 0  DIM 0  cm s   1500 0 1200 0 0 0 443 9  DIM E  eV  4 08 4 05 0 0 4 05  DIMM 0  cm2 s   0 0 0 0 0 0 4420 0  DIMM E  eV  0 0 0 0 0 0 4 37  CTN 0  cm  s  5 19 x 107    CTN 3  eV  0 60  Oxide  DIX 0  cm  s   1 31x101   1 75 3 16x10 4 7 6x1073  DIX E  eV  875 4 89 35 53 3 2  Tungsten Silicide  122   DIX 0  cm  s   2 6 2 6 OTO 4 2  DIX E  eV  2 11 B14  1 17 2 14  Titanium Silicide  122   DIX 0  cm s   4 8 4 8 1 5x1077 392 0  DIX 0  eV  2 183 2163 20 2 64  Platinum Silicide  122   DIX 0  cm s   2 6 2 6 1 0x1073 4 2  DIX 0  eV  2 11 2 11 1 17 2 14                      All other coefficients for refractory metals and their silicides are set to 0 0     B 3  Impurity Segregation Coefficients       Table B 12  Impurity Segregation Coefficients                                                             Parameter Antimony Arsenic Boron P
169. ACANCY statement syntax is done regardless of physical  meaning  For example  you can define vacancy injection during oxidation although default parameters  are zero     The models used here are involved in ongoing research  Many of the parameters have unknown  dependencies  such as stress  temperature  starting silicon material  and stacking fault density           For more examples  see DIFFUSE and TRAP           6 56 Silvaco    LAYOUT       6 32  LAYOUT    LAYOUT describes manual input of mask features for OPTOLITH     Syntax    LAY   CLEAR  lt n gt                               X LOW  lt n gt    Z LOW  lt n gt    X  HIGH  lt n gt    Z HIGH  lt n gt    X TRI  lt n gt    Z TRI  lt n gt     HEIGHT  lt n gt    WIDTH  lt n gt    ROT ANGLE  lt n gt    X CIRCLE  lt n gt    Z CIRCLE  lt n gt     RADIUS  lt n gt    RINGWIDTH  lt n gt    MULTIRING   PHASE  lt n gt    TRANSMIT  lt n gt     INF ILE  lt c gt    MASK  lt c gt    SHIFT  MASK  lt c gt     Description    This command is used to enter mask information for OPTOLITH  Several LAYOUT statements can be  used in sequence to define complete mask patterns  All coordinate and size parameters are in microns     LAY CLEAR specifies that the currently defined layout should be deleted prior to the execution of the  new layout definition     X LOW specifies the minimum x coordinate of the rectangular feature    Z LOW specifies the minimum z coordinate of the rectangular feature    X HIGH specifies the maximum x coordinate of the rectang
170. ACKSIDE     XLO  lt c gt    YLO  lt c gt     XHI  lt c gt    YHI  lt c gt    Description    EXPOSED surfaces correspond to the top of the wafer  Only exposed surface have deposition or  oxidation on top of them  A surface created by etching will also be exposed unless the ETCH  NO EXPOSE syntax is used     REFLECTING surfaces correspond to the sides of the device and are also applicable to the backside  as long as defects are not being simulated  All surfaces default to REFLECTING                                   BACKSIDE surfaces are physically identical to the reflecting surface with special meaning only when  backside electrode is specified in the ELECTRODE statement    XLO  YLO  XHI  and YHI set the left  right  top  and bottom bounds of the rectangle being specified   The value string should be one of the tags specified in one of preceding line statements                    Examples    The following lines define the top of the mesh to be an exposed surface and the bottom to be the  backside        BOUNDARY EXPOSED XLO LEFT XHI RIGHT YLO SURF YHI SURF                BOUNDARY BACKSIDE XLO LEFT XHI RIGHT YLO BACK YHI BACK                                        For more examples  see REGION and INITIALIZE        Silvaco 6 17    CLUSTER ATHENA User s Manual       6 10  CLUSTER    CLUSTER specifies parameters of  311  cluster model        Syntax       CLUSTER    I  IMPURITY   MATERIAL     CLUST FACT  lt n gt    MIN CLUST  lt n gt    MAX CLUST  lt n gt     TAU 311 0  lt n gt  
171. ATHENA  the simulated Monte Carlo distributions are used to calculate an  ion flux incident on the substrate surface  This flux is then used to calculate an etch rate by  integrating this flux over the window of visibility at each point on the surface  The window of visibility  is  for point on a flat surface  simply from 0 to 2  But for more complicated structures  e g   trenches    points on the surface are shadowed and the    window of visibility    is reduced  Currently  only a simple  linear surface kinetic model for etching is supported        See Chapter 7     SSUPREM4 Models    for a description of the RATE ETCH parameters required for  plasma etch simulation     4 4 5  Monte Carlo Etching Model    The shrinking critical dimensions of modern technology place a heavy requirement on optimizing the  etching of narrow mask opening  In addition  the aspect ratio of etches has been increased  requiring  deeper etches along with the small ke s  The simulation of these process requires more advanced  techniques than the analytical rate based etching models described above  A more complete treatment  involving calculation of the plasma distribution and direct interaction of plasma particles with  substrate materials is required                 4 14 Silvaco    ELITE Models       The Monte Carlo etch module is implemented into ATHENA ELITE  The main application of the module  is simulation of plasma or ion assisted etching  The module can take into account the redeposition of  t
172. AYER1 DIV  lt n gt    LAYER2 DIV  lt n gt       LAYER20 DIV  lt n gt                                       Description    This statement can be used to load a 1D stream of doping data into an ATHENA structure  The data  might come from a Secondary Ion Mass Spectroscopy  SIMS  profile or from a 1D simulation in  SSUPREMSB  Data is applied in 1D across the width of the mesh for subsequent 2D simulation     INFILE specifies the name of the profile data file or Standard Structure File to be loaded     MASTER or SSF indicates that the file to be loaded is an Silvaco Standard Format file  Files  generated by SSUPREM3 are in this format     IMPURITY specifies the impurity type for profile data file  Corresponding active impurity will be also  added  See Section 6 2 10     Standard Impurities    for the list of impurities     INTERST  VACANCY  CLUSTER DAM and DIS LOOP specify that profile data file includes a  profile of interstitials  vacancies   311  clusters or dislocation loops  correspondingly     LAYER1 DIV  LAYER2 DIV      LAYER20 DIV specifies the number of subdivisions for each layer  when loading SSUPREM3 Structure files     Examples    An example of a PROFILE statement is given below        PROFILE INF BORON SIMS BORON       In this case  the PROFILE statement specifies that only boron information will be added to the current  working silicon structure  The data file BORON  SIMS should be in the following format            THIS IS SIMS DATA       0 01 1815   0 02 1 1E15  0
173. As or user defined ternary materials with the following standard  names  AlInAs  InGaP  GaSbP  GaSbAs  InAlAs  InAsP  GaAsP  HgCdTe  InGaN  and AlGaN              GR SIZE specifies grain size in deposited polysilicon layer  This parameter is recognized only when  POLY  DIFF model is specified in the METHOD statement  Units are microns                 F GR SIZE can only be specified together with GR  SIZE  This parameter deposits polysilicon layer  with grains linearly graded with their sizes  where GR  SIZE specifies grain size at the bottom of the  layer and F GRAIN  SIZE specifies grain size at the top of the layer  Units are microns                             Parameters Specific to ELITE Depositions    MACHINE specifies the name of the machine to be run for ELITE deposits  The machine name must  be specified in a previous RATE   ETCH statement                 TIME sets the time in specified units the etch machine will be running     HOURS  MINUTES  and SECONDS specifies the units of the TIME parameter  Default is MINUTES                 N PARTICLE specifies the number of particle trajectories to calculate for the Monte Carlo deposit  model     OUTFILE specifies the name of the file to be written with Monte Carlo particle positions        6 22 Silvaco    DEPOSIT       SUBSTEPS specifies the number of timesteps made for each division of the deposit in the ELITE  module     VOID specifies that the voids formed during deposition are to remain unfilled with deposit material   
174. Before discussing the simulation of  physical processing using SSUPREM4  ELITE or OPTOLITH modules  it   s important to discuss structure  manipulation statements that can precede or alternate with physical process steps     Simple Film Depositions    Conformal deposition can be used to generate multi layered structures  Conformal deposition is the  simplest deposit model and can be used in all cases when the exact shape of the deposited layer is not  critical  Conformal deposition can also be used in place of oxidation of planar or quasi planar  semiconductor regions when doping redistribution during the oxidation process is negligible     To set the conformal deposition step  select the menu items Process   Deposit   Deposit    from the  Commands menu in DECKBUILD and the ATHENA Deposit Menu  Figure 2 12  will appear        2 16 Silvaco    Tutorial       vy Deckbuild  ATHENA Deposit    Material  Oxide       say defined     Thickness  um   0 02 0 00 d H 1 00       Grid specification     Wi Total number of grid layers  2 1 a 1 20    O Aipmnal grid sparing imi 0 16       O Grid spacing lication fumi    O Minimum grid sparing tum          O Stiniusei  edge sporing ipm      Composition fractions   iniiai capevipa Pilea  fractipi    Pinal campas iipon fraction     Comment  Gate oxide deposition  WRITE                Figure 2 12  ATHENA Deposit Menu    As shown  Conformal Deposition is the default  If it is known that the oxide layer thickness grown in a  process is 200 Angstroms 
175. Birigh  shadow point izeft  iright       is a weighing factor based on the amount of shadowing at point i due to       Silvaco 4 23    ATHENA User s Manual       The kinetic factor is based on the following equation     K    1  KINETIC FAC   tana  4 39    This shows the effect of the parameter  KINETIC FAC  on the polishing rate  The angle a  is the local  angle that is tangent to the polished surface  The maximum allowable angle a  is 89 9544    1 57  radians  will avoid calculation errors        Figure 4 14 demonstrates three regions where each of the components of the polishing rate would be  large     A_I Large    K_I Large           t     _I Large    Figure 4 14  Soft Polishing Model  Areas where different components dominate       4 24 Silvaco    Chapter 5   OPTOLITH Models          5 1  Overview    The OPTOLITH module of ATHENA allows the use of sophisticated models for imaging  photoresist  exposure  photoresist bake  and photoresist development  OPTOLITH includes a library of photoresists  with default characterizations for development and optical properties  These default characterizations  can easily be tuned to adjust for variations that very typically occur from one facility to another  This  chapter describes the models and capabilities of OPTOLITH        Silvaco 5 1    ATHENA User   s Manual       5 2  The Imaging Module    OPTOLITH includes an imaging module that utilizes the Fourier series approach     The theoretical resolution  RES  and Depth Of Focus  DOF 
176. CALE gives the spread of the enhancement over  solution values  In other words  how quickly does the enhancement or retardation factor reach its  maximum  S is the dopant value  The positive value of ENH Max corresponds to enhancement  while  negative value corresponds to retardation                    For exponentially varying solutions  e g   oxidation stress and dopant concentrations  both S and  ENH MINC are taken to be log base 10 of their respective value  Parameters of the model are specified in  the RATE DOPE statement     4 4 4  Plasma Etch Model    The plasma etch model in ATHENA is based on a Monte Carlo simulation of the ion transport from the  neutral plasma or bulk  denoted by its glow  through the dark sheath surrounding the electrodes and  walls and isolating the plasma  Ions enter the sheath from the plasma and are then accelerated  through the sheath due the electrical potential drop between the plasma and the electrodes  The  Monte Carlo simulation follows a large number ions in their transport through the sheath including  collisions with other gaseous species present in the etch chamber  The number of collisions  encountered by a particular ion depends on both the ion mean free path  a calculated quantity  and the  sheath thickness  an user specified quantity  To reduce the computation time  ion trajectories are  calculated independently and inter ion interactions are not considered in this version of the code                    In the current version of 
177. CK DY                Figure 2 69  Initial 1D Structure Relationship    The BASE  MESH parameters should be considered alongside the BASE   PAR parameters  When forming  a base mesh  there are three objectives to remember regarding the quality of mesh  These objectives             are as follows        e 1D dopant information is neither lost in the 2D transition nor overly refined upon  resulting in    overly dense BASE            M       ESH  See Figures 2 70 and 2 71         e Little or few flat triangles exist in regions and materials of importance   See Figure 2 74      e The adjacent triangle ratio  in both X  and Y directions  is not abrupt in spacial regions of  importance to the device  See Figure 2 75         Controlling the quality of the BASE MESH  formed at the 1D 2D transition  is achieved with the  BASE PAR command parameter  Specific materials can be assigned different parameters  The  GRAD SPACE parameter controls the Vertical Adjacent Triangle Ratio Quality  while the RATIO BOXx  parameter controls the lateral Adjacent Triangle Ratio  These two statements can be thought of as  operating upon the 1D and 2D simulation segments respectively during 1D simulation  Only the  adjacent spacing ratio can be controlled in the vertical profile with the GRAD SPACE parameter                          Silvaco    ATHENA User s Manual       Subsequently  at the point of 2D transition  quality for mesh density     The INIT command includes the parameters  WIDTH STR and D     th
178. CTRONS electron concentration  INTERSTITIAL interstitial concentration  NI intrinsic electron concentration  OXYGEN oxygen concentration  PHOSPHORUS phosphorus concentration  Sxx  Sxy  Syy components of stress in rectangular coordinates  TRAP unfilled interstitial trap concentration  VACANCY vacancy concentration  x x coordinates  y y coordinates  X V x velocity  Vivi y velocity                   6 98 Silvaco    SELECT                                                       Table 6 5  Select Functions   Function Description  abs absolute value  active active portion of the specified dopant  erf error function  erfc complimentary error function  exp exponential  gradx numerically differentiates the argument with respect to x location  grady numerically differentiates the argument with respect to y location  log logarithm  log10 logarithm base 10   lt mat1 gt   lt mat2 gt  returns the y value of the interface between  lt mat1 gt  and  lt mat2 gt   along a vertical slice at the given location  scale scales the value given by the maximum value  sqrt square root          TEMPERATURE specifies the temperature at which expressions are evaluated  It defaults to the last  diffusion temperature  This parameter has to be specified  by default or explicitly  when printing a net  active concentration or preparing a ATLAS structure file     Examples    The following example will choose the base 10 logarithm of the arsenic concentration as the PRINT 1D    variable                    SELECT Z 
179. Capabilities    e The default value for nitride viscosity has been changed from VISC 0   5e12 to VISC 0   1 8e15   This value is changed in the athenamod file using the following MATERIAL statement   MATERIAL NITRIDE VISC 0   1 8E15 VISC E 0 VISC X   0 499    e The parameters WET and DRY were changed to WETO2 and DRYO2 on the INTERSTITIAL   OXIDE  and MATERIAL statements     e The MOMENTS statement has been added to ATHENA to facilitate the entering of user defined  moments for analytic implant  The MOMENTS statement includes the following parameters  mate     rial SILICON      impurity ARSENIC      DOSE incident ion flux   em    ENERGY incident ion  energy  KeV   RANGE projected range  microns   STD DEV standard Deviation  microns   GAMMA  third moment  KURTOSIS fourth moment SRANGE projected range for second Pearson  microns    SSTD DEV standard Deviation for second Pearson  microns   SGAMMA third moment for second  Pearson  SKURTOSIS fourth moment for second Pearson  DRATIO dose ratio in the double Pearson  formula                    D 14 Silvaco    ATHENA Version History       e The parameters WETO2 and DRYO2 were added to the INTERSTITIAL statement for THETA 0  and THETA E     e A parameter FLIP FACTOR has been added to the METHOD statement to let the user change crite   ria for controlling triangle flipping  FLIP FACTOR is a measure of the obtuseness of the angles of  the opposite nodes of a pair of triangles  The default is 1e 6  It is unitless     Four new materials h
180. Cc is the chemical concentration of the dopant  and C4  is the equilibrium active concentration    calculated either from solid solubility or clustering model  for arsenic  as defined in the previous  section  The parameter t  is the time constant for activation  which is a function of temperature  and  is calculated using the following Arrhenius expression     t4   TRACT 0   exp  FRACLE  3 64  kT  The initial condition at time t 0 for Equation 3 63 is specified by  C  ets min C4   TRACT MIN   n   3 65  t     where n  is the intrinsic carrier concentration  Therefore  implantation activation will occur  immediately up to a level of TRACT MIN   n   after the active concentration is calculated according to  Equations 3 59 and 3 62     To activate the transient activation model  set the CLUST  TRANS parameter in the METHOD statement  and specify the TRACT 0  TRACT E  and TRACT  MIN parameters in the IMPURITY statement                 The defaults for B  P  As and Sb are TRACT 0 8e 16sec  TRACT E  4 2  and TRACT MIN 1 0   TRACT  MIN for Phosphorus is 2 0            3 20 Silvaco    SSUPREM4 Models       3 1 7  Grain based Polysilicon Diffusion Model    The mechanism for impurity diffusion in polysilicon is different than that of crystalline silicon   Polysilicon has a micro structure of small  compared to the interesting device regions  crystalline  regions called grains  These grains are separated by grain boundaries which occupy a certain spatial  volume and are connected to for
181. Changes in the ETCH statement              cc cece eee e cece e eee e eee eee ene enna E 8  E 11  Changes in the STRUCUTURE  SAVEFILE  statement           0  ccc cece eee e eee eee eee eee E 8       Silvaco xi    ATHENA User s Manual       E 12  Changes in the IMPLANT statement           000  c cece eect eee eee eee ene neeee E 8  E 13  Changes in the ELECTRODE statement            000  ece eee e eee e eee een ee eeeee E 8  E 14  Changes in the METHOD statement          000 c cece eee ete eee eee eee eeee E 8  E 15  Changes in the MATERIAL statement         00    cece cece eee eee eee een een eneeeee E 8       xii Silvaco    Chapter 1   Introduction          1 1  Athena Overview    ATHENA is a simulator that provides general capabilities for numerical  physically based  two   dimensional simulation of semiconductor processing  ATHENA has a modular architecture that the  following licensable tools and extensions     e ATHENA  This tool performs structure initialization and manipulation  and provides basic depo   sition and etch facilities    e SSUPREM  This tool is used in the design  analysis  and optimization of silicon semiconductor  structures  It simulates silicon processing steps such as ion implantation  diffusion and oxidation     e ELITE  This tool is a general purpose 2D topography simulator that accurately describes a wide  range of deposition  etch and reflow processes used in modern IC technologies     e OPTOLITH  This tool performs general optical lithography sim
182. Conformal Deposition Example    The following statement deposits a conformal layer of silicon dioxide  1000 Angstroms thick  on the  surface of the simulation structure  It will contain 4 vertical grid points        DEPOSIT OXIDE THICK 0 1 DIVISIONS 4          Example Depositing Doped User defined Material  The following deposits a layer of a user defined material BPSG doped with boron and phosphorus     DEPOSIT MATERIAL BPSG THICKNESS 0 1 DIV 6 C BORON 1e20 C PHOS 1e20             Grid Control Example    The following statement deposits a conformal layer of silicon nitride with a thickness of 0 3um  The  grid spacing at the bottom of the layer is 0 01um and the layer will include 10 vertical sublayers        DEPOSIT NITRIDE THICK 0 3 DY 0 1 YDY 0 3 DIVISIONS 10          ELITE Machine Deposition Example    The following statements define a machine named MOCVD and use it to deposit tungsten with a  thickness of 0 1m on planar areas and step coverage of 0 75           RATE DEPO MACHINE MOCVD DEP RATE  1 u m STEP COV  75 TUNGSTEN  DEPOSIT MACHINE MOCVD TIME 1 MINUTE                                                       For more examples  see RATE  DEPO        Silvaco 6 23    DEVELOP ATHENA User s Manual       6 14  DEVELOP    DEVELOP runs the development module in OPTOLITH                 Syntax          DEVELOP   MACK  DILL  TREFONAS   HIRAI  KIM EIB    TIME  lt n gt    STEPS  lt n gt    SUBSTEPS  lt n gt                            DUMP  lt n gt    DUMP PREFIX  lt c gt         
183. Current layer   Label    Name    Field    Mis   alignments   Xx 0 0 y       Delta CD 0 00        add 7   Delete          Figure 2 60  Layers Popup    If you select Dark  the field background will be dark and the features will have the intensity  transmittance  T   where T is user defined  If you select Clear  the intensity transmittance  automatically becomes  1   T      Only rectangular features are used in the imaging module  MASKVIEWS automatically converts  triangles or polygons to a set of parallel rectangles  Finer resolution on these rectangles can be  obtained by changing the resolution on the Screen    popup under the Define menu        2 76 Silvaco    Tutorial       Mask Layout  In the LAYOUT command  each mask feature is defined with one command line  For example     LAYOUT X LO  0 5 Z LO  5 0 X HI 0 5 Z HI 5 0 TRANS 1 PHASE 0       defines a 1u wide line that is 10m long  The mask has an intensity transmittance of one and a phase of  0    The LAYOUT command can be repeated as often as desired  The number of mask features is limited  only to the amount of memory available  The LAYOUT LAY CLEAR command will remove all previous  mask features from memory  Overlapping mask features will cause an error  The OPAQUE and CLEAR  parameters can be specified in the IMAGE command  This will not reverse polarity as it does in  MASKVIEWS                    2 9 3  Illumination System    The Illumination System is defined using two statements  ILLUMINATION and ILLUM FILTER   ILLU
184. D        E INITIAL 0 001    The following defines that stress dependent oxidation rates will be used with the viscous oxidation    model     METHOD VISCOUS                      OXIDE    STR    ESS D    EP t          For more examples  see DIFFUS    E and M          ETHOD           Silvaco    POLISH ATHENA User s Manual       6 41  POLISH    POLISH runs the chemical mechanical polishing  CMP  module   Syntax    POLISH  MACHINE  lt c gt   TIME  lt n gt    HOURS   MINUTES   SECONDS    DX MULT  lt n gt    DT FACT  lt n gt    DT MAX  lt n gt                        Description    This statement executes the chemical mechanical polishing module of ELITE  The POLISH statement  must be preceded by a RATE POLISH statement to define the polishing machine     MACHINE specifies the name of the polish machine        TIME specifies the time the machine is to be run   HOURS  MINUTES  and SECONDS specifies the units of the TIME parameter     DX MULT is the accuracy multiplier for ELITE polishes  The discretization size used for the polish  calculation will be multiplied by DX MULT  For improved accuracy  decrease the value of DX   MULT  For  improved speed  increase the value of DX   MULT        DT FACT controls the timestep size  By default  the movement of a string node is limited to less than  or equal to one quarter of the median segment length  This is a good compromise between simulation  speed and the danger of loop formation  The optimization factor DT  FACT must not exceed 0 5 but ca
185. DAMAGE flag on the IMPLANT statement   The model calculates the trajectory of secondary ions generated by the collision between the pri   mary ion and crystal lattice atom  REC FRAC controls the fraction of the secondary ions generated  by primary ions to be simulated     e Work in MC Implant has changed the results so that the peaks for crystalline and amorphous  implants are now at the same position     e Substrate rotation is now taken into account for Monte Carlo implants  This parameter is set on the  INITIALIZE statement and is called ROT SUB  The default for ROT SUB is 45 degrees        Silvaco D 17    ATHENA User s Manual       e Access to implant parameters for electronic stopping have been added to the IMPLANT statement   These parameters affect the electronic stopping model and the angle for the Monte Carlo implant   First  the BEAMWIDTH parameter has been added  This parameter allows specification of the  implant beamwidth in degrees  When the BEAMWIDTH angle is specified the TILT angle is varied  between TILT     BEAMWIDTH 2 0  Each ion will have an angle somewhere in this range decided  by a random number generator  There are two electronic stopping models  The first  default model   is a simple model that uses the atomic mass of the ion and the current ion energy after each colli   sion to calculate the electronic stopping     A parameter called PRE FACTOR has been added as a multiplier to the atomic mass factor  The  default value of PRE FACTOR   1  A paramete
186. Description    This command runs the development module and enables the use of the option to select a development  model     MACK  DILL  TREFONAS  HIRAI  KIM and EIB specify the development model to be used     TIME  STEPS  and SUBSTEPS are related parameters that control the string algorithm in  development  TIME is the total development time in seconds  STEPS gives the number of times ETCH is  to be performed  SUBSTEPS controls string movement  Each substep or string movement has a time  duration of TIME STEP SUBSTEPS                                DUMP determines whether a structure is saved after each step of the development is completed     DUMP PREFIX specifies the prefix name for the structure file to be saved  The number of steps will  be equal to the number of output files  The files are readable with the STRUCTURE statement or can  be displayed using TONYPLOT  The names of the files will be of the form DUMP  PREFIX         sty   where    is the current development time           Examples    The following example dumps out five structure files to show the evolution of development using the  KIM development model                 DEVELOP KIM DUMP 1 TIME 60 STEPS 5                            For more examples  see RATE   DEVELOP        6 24 Silvaco    DIFFUSE       6 15  DIFFUSE       DIFFUSE runs a time temperature step on the wafer and calculates oxidation  silicidation and  diffusion of impurities  DIFFUSION is a synonym for this statement              Syntax    DIFF
187. E T ae Rete e AA D 11  DATS BUTE merio r berth caste eh ion at cobain AERE OE Rh ne ahaa eed ee D 14  D2 OR TOUGH 2 cess ted a E stat tte tin aA anal AAA Sia aint aa ciat raae e ES D 14  D 13  ATHENA Version 3 0 1 R Release NoteS        0 cc cece cece eee e eee eee nent e eee ene D 14  Dig ASA PENA Capabilities tr isna tha Saree acer a rte N Sarat E tid Dace en turns Ak D 14  D 13 2  ELITE Capabilities 2g a  eens aia nann  a Bene age here EIEE eE EE E eae ap ens aie  D 18  D 13 3  FLASH Capabilities    2 22 a eeadsy A addi EE Pedi the EET D 20  D3 4 OPTOLITH Capabilities  os otieudscesdieute dete ae teases seen E E E D 20  D 13 5  Known  Bugsa  meyn eet lye weet elt they OENE EEA sleet eet EA EA D 20  D 14  ATHENA Version 2 0 savantxetavaeey sel a eaa be E NE EA eae D 20  D 14 1  ATHENA Capabilities         n on D 20  D 14 2  SSUPREM4 Capabilities         etc nnne D 21  D 14 3  ELITE Capabilities           nunnan D 22  D 14 4  OPTOLITH Capabilities sy 9 oc tin i  eS sa hh a Oecd eae Rais ka Races  D 22  Data 5  REASH eO e ache Scie ok ok A E E cine pel E a he ot D 23  DAS ATHENA  V  rsion  IO aea a teiaa a cnc dina a aaa Tas shan aia Sy atlas aunt  a asa nada ean lew D 23  B16  SSUPREMA Version  0 0 iren a Pian a eee ee See be ye ea bbs Se D 24  D 17  SSUPREM4 Version 5 14 miesien piei a hice ee oo eve ad eee e fete eee een es D 25  D 18 SSUPREM4 Version  SiT riisiin dads twa hedesiws ti isexs iad dubut veentaee es D 25  D 19  SSUPREM4 Version 5 0 cer 2c ocec casas ccedieeeee
188. E command  or select TM by entering the PARALLEL parameter  TE is the                                                    default  The exposure dose is also defined in the EXPOSURE command in units of mJ cm  using the  DOSE parameter     Exposures can be made with either coherent or incoherent sources  Coherent sources are described by  SIGMA 0 01 in the IMAGE command  This defines a small enough source so that only one  discretization point is included  If a large SIGMA is defined and discretization of the source allows at  least three source points in the x  or z  direction  then three points from the source will be used in the  bulk image calculation with equal weight given to each point  The points chosen will be the central  point and the outermost points  or the dimension of the chosen cross section  x or z   If multiple sources  are defined using the ILLUM  FILTER command  then the central point of each SOURCE defined is used  for calculating the bulk image in the exposure  The latter allows an arbitrary amount of source points  to be simulated for the bulk image calculation  This is done by specifying many small adjacent sources  and one point will be taken from each source                                      You can add bulk image exposures together by specifying MULT   EXPOSE on repetitions of the EXPOSE  command  Any number of exposures can be added together  The first EXPOSE statement should not  contain the boolean parameter MULT EXPOSE because the preceding expo
189. EDGE MAX specifies the maximum edge length below which deleting points will stop  Units are cm   Default is 1 0 10       MIN ADD percent criteria to turn off implant adapt loop  MIN ADD stops point addition in IMPLANT  when the number of points added in the current loop is less than MIN  ADD    total number of points    The default value for MIN ADD   0 05     MAX POINT specifies the maximum number of points above which adapting will stop  Default is  20000        6 12 Silvaco    ADAPT PAR       MAX LOOP specifies the maximum loop count above which adapting will stop  This is only effective  with implant  Default is 10     IMPL SMOOTH specifies which annealing algorithm to use after each adaption step  Currently   IMPL SMOOTH 0 corresponds to no annealing during IMPLANT  IMPL SMOOTH 1 corresponds to  Laplacian smoothing and dose conservation interpolation algorithm  The default is IMPL SMOOTH 1     DIFF SMOOTH specifies which annealing algorithm to use after each adaption step  Currently   DIFF  SMOOTH 0 corresponds to no annealing during DIFFUSE  DIFF SMOOTH   1 corresponds to  Laplacian smoothing and dose conservation interpolation algorithm  The default is DIFF  SMOOTH 0           IMPL SUB flag to do grid subtracting in implant adapt  IMPL SUB is a boolean flag that stops point  removal during IMPLANT adaptive meshing  The default value for IMPL SUB false signifies that  points are not being removed     DOSE ERR specifies dose error for the refinement unrefinement   DOSE M
190. ENSITY  lt n gt         Description    ER DUV LIN          E ARF LAS       ER F2  LAS          ER   LAMBDA  lt n gt      I LINE  G LINE  H LINE  KRF LASER  alias DUV LINE   ARF LASER  and F2 LASER specify  that the standard wavelengths of the illumination to be used  The corresponding wavelengths are  0 365  0 436  0 407  0 268  0 193  and 0 157 microns     LAMBDA defines or changes the source wavelength  Only monochromatic sources are assumed for    simulation  that is only one wavelength can be specified  The units are microns     X TILT and Z TILT specify the tilt of the illumination system with respect to the optical axis of the  projection system  All values are to be entered in degrees     INTENSITY defines or changes the absolute value  usually set to one  of the complex amplitude  that  is the intensity in the mask or reticle plane     Examples    The following statement defines i line illumination with X and Z tilt of 0 1   and an intensity of 1     ILLUMINATION I LIN       For more examples  see       IMAGI          E  PROJI       LAYOUT     ECTI       E X TILT 0 1 Z TIL1          ON     ILLUM FILT             0 1 INTENSITY 1          ER  PUPI    L       SEE       LT       ER  Al             ERRATION           Silvaco    IMAGE       6 27  IMAGE    IMAGE calculates a one or two dimensional aerial image              Syntax    IMAGE        INFILE  lt c gt    DEMAG  lt n gt    GAP  lt n gt      OPAQUE CLEAR   DEFOCUS  lt n gt    CENTER     WIN X LOW  lt n gt    WIN X HI
191. ERMI model  When the interstitial concentration near the surface  during a very long anneal has been reduced to only marginally above the background level at the  anneal temperature concerned  the method statement can be switched to METHOD FERMI to greatly  reduce the simulation time  The interstitial background level will be the level deep in the substrate  where little damage has occurred              2 4 5  Modelling the Correct Substrate Depth    An important and often overlooked aspect of the correct modeling of dopant diffusion is the choice of  substrate depth  It has been mentioned previously that the rate of dopant diffusion is highly  dependent on the level of damage in the substrate  Therefore  the accurate modeling of dopant  diffusion requires the accurate modeling of substrate damage  particularly the movement of  interstitials  In general  the interstitials created directly or indirectly by implantation and oxidation  tend to diffuse much greater distances than the dopant  The substrate depth chosen for modeling  purposes must therefore be deep enough to allow the interstitial concentrations to return to  background levels at the bottom of the simulated substrate  even if no dopant diffusion occurs at this  depth        TonyPlot V2 6 6  File    View    Ploty  Tools    Print v  Properties    Help             INTERSTITIAL DIFFUSION  20keV Boron  1e15 cm2              Xx Interstitial Clusters   cm3        Interstitials   cm3                          as implant str
192. EXPITAXY statement  IMPLANT MES specifies which adapting algorithm to use on IMPLANT  statements  Currently  IMPLANT  MES 0 corresponds to the University of Florida   s algorithm  This is  the default  Also currently  this is the only recommended algorithm  There are four other parameters  on the METHOD statement that specify mesh smoothing                                      They are as follows     e ETCH SMOOTH specifies that mesh smooth operation will be performed after etch        a   e DEPO SMOOTH specifies that mesh smooth operation will be performed after deposit   As     e DIFF OOTH specifies that mesh smooth operation will be performed after diffusion              e STEP SMOOTH specifies that mesh smooth operation will be performed after each diffusion time  step  These four parameters are currently set as default     The ADAPT PAR statement is used to set parameters to adjust the mesh adaptation process  The  parameters available on the ADAPT PAR statement are the following  Specify material regions to be  adapted  such as SILICON  OXDIDE  and POLYSILICON  This may be one or several materials at a  time  The default impurities include such as I  BORON or I ARSENIC  Specify impurities to be adapted  on  This may be one or several impurities at a time  The DISABLE parameter specifies materials   impurities given disabled to be effective on mesh adapting or smoothing  The MAX ERR parameter  specifies the maximum errors allowed before adding points to the mesh  unitles
193. F is ignored  ATHENA uses SILVACO Structure File  SSF  format     e XDX specifies the distance from the top of the initial structure at which nominal grid spacing  is placed     E 8  Changes in the DEPOSIT statement    There are several additional ways to specify doping in the deposited layer  You can specify the  impurity name by the IMPURITY  lt impname gt  parameter  where  lt impname gt  could be boron  phos   phor  arsenic  and antimony  You can specify the corresponding doping either by I  CONC  lt conc gt   or I RESIST  lt resistivity gt   Alternatively  you specify the concentration of an individual  impurity by using BORON  lt conc gt   PHOSPHOR  lt conc gt   and so on  Boolean parameters  RESISTIVITY and CONCENTRATION specify which method of the doping specification to be used     ARC SPACKEH is an alias for MIN  SPACE                 To provide compatibility with SSUPREM3  the following aliases have been introduced   e DX for DY    e XDX for YDY  e MIN DX for MIN DY       Silvaco E 7    ATHENA User s Manual       E 9  Changes in the DIFFUSE statement    There are several additional ways to specify impurity concentration in the ambient gas  You can  specify the impurity name using the IMPURITY  lt impname gt  parameter  where  lt impname gt  could  be boron  phosphor  arsenic  and antimony  You can specify the corresponding concentration by  I CONC  lt conc gt   Alternatively  you can specify concentration of an individual impurity by using  BORON  lt conc gt   PHOS
194. GH  lt n gt    WIN 2Z LOW  lt n gt    WIN 2Z HIGH  lt n gt                                        DX  lt n gt    DZ  lt n gt    X POINTS  lt n gt    Z POINTS  lt n gt    N PUPIL  lt n gt    MULT IMAGE   X CROSS Z CROSS   ONE DIM           Description    This statement calculates a 2D aerial image and sets parameters that control the accuracy  input  and  output of the imaging module  The IMAGE statement accepts layout information created by MASKVIEWS        INFILE is the name of the mask data file from MaskVieEws  It contains coordinates of rectangular  mask features as well as the transmittance and phase of each feature  This file name usually ends  with the extension sec     Note  For more information on the alternative method of loading MASKVIEWS layout information for image calculations  see  the LAYOUT statement     DEMAG specifies demagnification factor  If specified  all elements of layout as well as all parameter of  image window and grid will decrease     GAP specifies the mask to wafer gap for the case of contact printing  The units are microns     OPAQUE and CLEAR specify the type of mask to be used  The background will be opaque if you  select OPAQUE  while the mask features will be clear  The background will be clear if you select CLEAR  and the mask features will be opaque           DEFOCUS is a user specified defocus parameter  If  lt  0  above the resist  If  gt  0  below the resist  surface              CENTER specfies that layout loaded using the INFILE para
195. H is created by pressing the Write  File button in the MASKVIEWS window  The Optolith Simulation Popup will appear  Figure 2 59         Silvaco 2 75    ATHENA User s Manual       ne OPTOLITH simulation control    File name defaultseg           Figure 2 59  OPTOLITH Simulation Control Popup    Enter the desired file name  which should end with a  sec extension  and proceed to the next step   Note that at the bottom of the MAskVIEws window the message  Select first corner of OPTOLITH  simulation area will appear  MASKVIEWS is now prepared for the selection of the image window  The  image window describes the area where intensity will be calculated  Click on the desired area for  intensity calculation to create the first corner of the OPTOLITH simulation area  The message  Select  the other corner of OPTOLITH simulation area will then appear at the bottom of the MASKVIEWS  window  Click on the desired second corner  Once this second point is selected  the coordinates of the  image window   s lower left and upper right corners will be displayed in the OPTOLITH Simulation  Control Popup  Press the Write button to save the OPTOLITH mask file  The input file created by  MASKVIEWS is loaded into OPTOLITH by the IMAGE command  which is described in Section 2 9 5      Imaging Control           To modify the layers  open the Define menu in the MaskViEws Window  See Figure 2 48  and select  Layers menu item  The Layers Popup  Figure 2 60  will then appear     vy Maskviews   Layers       
196. IAL statements  Table 3 3 shows the complete set of  corresponding parameters                    For dopants  the boundary and interface conditions are identical to the ones stated in the Fermi Model        3 8    Silvaco    SSUPREM4 Models                                              Table 3 3  Parameters for charge statistics and intrinsic point defect concentrations  Entity ere Activation Energy  neu NEU O NEU E  neg NEG O NEG E  dneg DNEG O DNEG E  pos POS 0 POS E  dpos DPOS 0 DPOS E  Cy i CSTAR O CSTAR E  Interstitials    The interstitial profile evolves with the following continuity equation     OC  a    VeJ     Rg   RR iin 3 24    where J   is the flux of interstitials  Rpg is the bulk recombination rate of interstitials  Ry accounts for  the capture or emission of interstitials by traps  and R377  is the recombination rate of  311  clusters   Each of these terms are described below     The interstitial flux  J    is calculated according to  5  with       C     J    D C  Y   3 25  C      which correctly accounts for the effect of an electric field on the charged portion of the interstitials     C  taking the gradient of the normalized interstitial concentration  ri Dz  is the diffusivity of free  I    interstitials  Don   t confuse it with the pair diffusivity D4   which was mentioned in Section 3 1 2     The  Fermi Model        Dy is calculated once again with the following Arrhenius expression     D    D dexp  2     3 26          where the pre exponential factor and 
197. ID  OXIDE to 0 01  This allows a better simulation of impurity segre   gation and a more accurate prediction of the important surface doping concentration parameter under  the gate  These parameters should be chosen extremely carefully  If you set a small value of  GRID OXIDE for thick oxide  it will result in a considerable slowing down because as this parameter is  decreased  time steps are shortened and more grid points are generated                          Question     In some cases oxidation of a complex structure fails right in the very first time step  How can this situa   tion be fixed     Answer     ATHENA uses a special algorithm for depositing a native oxide layer on the oxidizing surface  This  algorithm sometimes fails when using highly nonplanar surfaces  This can be fixed by the selection of  a thinner native oxide using the INITIAL parameter in the OXIDE statement  Default is 0 002  microns  Decreasing this value down to 0 001 microns  or even less  may help overcome the problem   Direct deposit of native oxide could also be used        Question     The relative oxidation rate of polysilicon compared to silicon varies depending on the properties of the  polysilicon and the oxidizing ambient  How is this modeled in ATHENA SSUPREM4     Answer     The oxidation rate coefficients in ATHENA SSUPREM4 are specified separately for bulk silicon and  polysilicon  This allows you to tune the growth rates on the two materials independently  For example   to change the hi
198. IMPACT POINT  lt n gt    SMOOTH  lt n gt    SAMPLING   DAMAGE  TRAJ FILE  lt n gt    N TRAJ  lt n gt    Zl    lt n gt    M1    lt n gt                                    wu                                                                                      MISCUT TH   MISCUT PH           Description  This statement simulates ion implantation using different analytical and Monte Carlo models   Model Selection Parameters    GAUSS  PEARSON  FULL LAT  MONTECARLO  and BCA specify the implant model that is being  used  GAUSS selects a Gaussian distribution  PEARSON selects the Pearson IV distribution or where  available dual Pearson IV distributions  FULL  LAT is the same as PEARSON with lateral component of  the 2D distribution calculated using all available moments instead of just a lateral standard deviation   MONTECARLO  synonym is BCA  activates the Monte Carlo Implant Module  which based on the Binary  Collision Approximation     CRYSTAL and AMORPHOUS specify whether or not the silicon lattice structure is to be taken into  account during implant steps  The statements are mutually exclusive and CRYSTAL is true by default   For implants through thick screen materials  you often need to specify AMORPHOUS to avoid incorrect  channeling profiles                 e For analytical implant models  these parameters select which set of tables are used for  silicon implant ranges  The CRYSTAL model uses the SVDP tables where available and is  the default     e For MONTECARLO or BCA mod
199. IN specifies minimum of dose level for grid refinement during adaptation     DIFF LENGTH used to limit the activity of adaptation of grid during the simulation of dopant  diffusion  This parameter will allow the mesh to adapt only after a given diffusion length for a given  dopant and will override any other adaptation triggers based upon gradient error estimates  This is a  useful control to limit the number of time steps  Units are microns     ANISOTROPIC is the flag used to maintain the mesh to be anisotropic  The flag is material  dependent     Examples    The following is an example of setting the adaptive meshing parameters during diffusion for Boron        IMPLANT BORON DOSE 1E15 ENERGY 60  ADAPT PAR DIFF LEN 0 1 SILICON I BORON  DIFFUSE TEMP 1000 TIME 100 NITROGEN                                           For more examples  see ADAPT   MESH        Silvaco 6 13    BAKE ATHENA User s Manual       6 6  BAKE    BAKE performs post exposure or post development photoresist bake        Syntax  BAKE   DIFF  LENGTH  lt n gt    TEMERATURE  lt n gt    REFLOW    TIME   SECONDS  MINUTES   HOURS     DUMP  lt n gt    DUMP PREFIX  lt c gt                                                Description    This command runs a bake process using the diffusion length as the parameter that incorporates the  bake temperature and bake time     DIFF LENGTH specifies the diffusion length for the post exposure bake  Default is 0 05 micrometers    TEMPERATURE specifies the temperature of the bake pro
200. ING parameter in the IMPLANT statement     Silicide Simulation Features    1     7     Silicide models have been revised  Silicide growth rates are now based on experimental data for  TiSix  41    42      New data for diffusivities and transport coefficients for B  As  Sb  and P inTiSi2  129    130    New data for As  131  and improved implementation of segregation model at TiSi2 Si interface        New ALPHA parameter has been added in the SILICIDE statement  It is similar to the volume  expansion parameter  also called ALPHA  in the OXIDATION statement  It specifies the ratio  between consumed silicon volume and volume of grown silicide     The obsolete parameters  DSV 0  DSV E  NSILICON  and NMETAL are removed           More realistic silicide shapes near spacer corners are obtained  This has been achieved by  suppressing lateral silicide encroachment and empirical decrease of silicide growth rate near the  spacer corners     Silicidation process stops when the whole thickness of metal is consumed     Etch and Deposition Features    1     The DRY geometrical etch is extended to include the ANGLE and UNDERCUT parameters  These  parameters allow you to obtain the etch regions with tilted sidewalls and undercuts under the  material mask           Selective deposition and epitaxy for crystalline silicon and polysilicon  When silicon deposition and  epitaxy is performed with the SI_TO_POLY parameter specified in the DEPOSIT or EPITAXY  statement  the crystalline silicon layer w
201. IO2 are used  The only difference is that the default for  LAT  RATIO2 is 0 2  This is because the channelled portion of a 2D profile is obviously very narrow        Parabolic Approximation of Depth Dependent Lateral Distribution    It has been shown  51    52    53  and  54  that in general  the transversal function f y  is not    independent of depth because there is considerable correlation between transversal and longitudinal  motion of the implanted ions  This correlation could be taken into account by using a transversal    function with the depth dependent lateral standard deviation Gy  x   As it was shown in  52  and  54      if the spatial moments up to fourth order are used  the best approximation for Oy  x  is the parabolic    function   A  saa R   RS  3 210  oy  x    Cy c    p C4 x  p     In order to find the coefficients of the function two additional spatial moments should be used  These    are mixed skewness     rey    feo 0 8   dedy 3 211    and mixed kurtosis     o0  22  Bey      Ane y   R   y dxdy 3 212     00  The cg  c1  and co parameters can be found by substituting Equation 3 207 into Equations 3 209  3 211     and 3 212 and taking into account in Equations 3 180   3 186  while integrating over x  This results in  the system of equations where you can find the following relations     cy   AY  1  B  3 213    AY       Cy   aR     yB  3 214  P   Cy   Ary 3 215  AR       3 74 Silvaco    SSUPREM4 Models       where   BH 1  V    B  22 Ta 3 216   1 7    This pa
202. It defaults to true  This is a highly recommended  option since it can reduce the matrix sizes by a factor of two or more and operation speed is a function  of the size of the matrix  MIN FREQ is a parameter that controls the frequency of the minimum fill  reorderings  It is only partially implemented and has no effect on the calculation              GAUSS and CG specify the type of iteration performed on the linear system as a whole  CG specifies  that a conjugate residual should be used     BACK specifies the number of back vectors that can be used in the CG outer iteration  The default is  three and the maximum possible value is six     Note  A higher value of BACK will give faster convergence at the cost of more memory usage     BLK ITLIM is the maximum number of block iterations that can be taken  The block iteration will  finish at this point independent of convergence     TIME STE  ERROR  and NEWTON specify the frequency with which the matrix should be factored   The default is TIME  The TIME parameter specifies that the matrix should be factored twice per time  step  This option takes advantage of the similarity in the matrix across a time integration  The ERROR  parameter indicates that the matrix should be factored whenever the error in that block is decreasing   The NEWTON parameter forces factorization at every NEWTON step     DIAG  KNOT  and FULL FAC specifies the amount of fill to be included in the factorization of the  matrix  FULL FAC indicates that the entir
203. KBUILD without display  and then logout from the  system  use the UNIX nohup command before the following DECKBUILD command line     nohup deckbuild  run  ascii  an  lt input filename gt   outfile  lt output filename gt   amp     2 2 4  Running ATHENA inside DeckBuild    Each ATHENA run inside DECKBUILD should start with the following command line   go athena    A single input file can contain several ATHENA runs each separated with a go athena line  Input files  within DECKBUILD can also contain runs from other programs such as ATLAS or DEVEDIT along with the  ATHENA runs     Running a given version number of ATHENA    You can modify the go statement to provide parameters for the ATHENA run  To run version 5 8 0 R   the syntax is    go athena simflags   V 5 8 0 R       Starting Parallel ATHENA    The  P option is used to set the number of processors to use in a parallel ATHENA run  only the MC  Implant module is parallelized starting ATHENA release 5 16 0 R   If the number of processors  specified by  P is greater than the number of processors available  it is automatically reduced to this  cap number  If  P parameter is not specified  ATHENA will run on all available processors  automatically  To run ATHENA on 4 processors  use the command     go athena simflags    V 5 16 0 R  P 4     Running ATHENA with a user specified default parameter file    ATHENA supports the use of multiple default parameter files  These files have the default root  filename athenamod  To start ATHE
204. LINE X statements           line x loc 0 000000 tag left       ine x loc 0 800000 spac 0 010000       line x loc 0 950000 spac 0 080000       ine x loc 1 050000 spac 0 080000       line x loc 1 200000 spac 0 010000                ine x loc 1 350000 spac 0 080000          Silvaco E 4    TSUPREM4 and TSUPREM3 Compatibility Features       Note  MASK IN FILI                                  ine x loc 1 650000 spac 0 080000  ine x loc 1 800000 spac 0 010000  line x loc 1 950000 spac 0 080000  ine x loc 2 050000 spac 0 080000  ine x loc 2 200000 spac 0 010000  ine x loc 3 000000 tag right       E  lt maskfile t11 gt  cannot be used together with LINE X statements     Note    sec files generated by SILVACO s MaskViews tools provide superior capabilities in generating grid and mask    processing     E 5  Using mask information with the EXPOSE MASK  lt maskname gt     the statement  sequence of    specified mask  The EXPOSE    statement     This capability can be used only if ATHENA runs within DECKBUILD  If DECKBUILD encounters          EXPOSE                                  with the parameter MASK  lt maskname gt   it provides ATHENA with a  ETCH statements  which will remove photoresist below all transparent regions of the  MASK  lt maskname gt  statement should be preceded by a D        EPOSIT    PHOTO statement and followed by a DEVELOP  without parameters  statement  For example  if you  load the same Mask Data File as used above in the MASK statement  the following input deck 
205. LOG10  ARSEN     The following chooses the difference between the phosphorus and an analytic profile as the PRINT 1D    variable              SELECT Z  PHOS   1 0E18   EXP  Y   Y   1 0E 8               The following chooses the excess vacancy interstitial product as the PRINT  1D variable                 SELECT Z  INTER   VACAN   CI STAR   CV STAR       ro    Note  When using log or log10 functions  make sure the argument is positive and non zero  For example  always use    log10 abs doping  1         For more examples  see the PRINT  1D       Silvaco    SET ATHENA User s Manual       6 56  SET    SET specifies strings or numbers for variable substitution        Note  This commands executed under DECKBUILD and is documented fully in the VWF INTERACTIVE TOOLS MANUAL   VOLUME I     Syntax    SET       variable    lt value gt   Numerical Variable Example    The following statement defines a variable and performs an expression on it for use later within the  ATHENA processing syntax           SET MYDOSE 1e13  SET HALFMYDOSE     MYDOSE     2  IMPLANT BORON DOSE     HALFMYDOSE                            String Variable Example          The following uses SET to define a string variable  The saved file will be called mosfet_fred str     SET MYNAME fred  STRUCTURE OUTFILE mosfet_    myname    str                      For more examples  see EXTRACT        6 100 Silvaco    SETMODE       6 57  SETMODE    SETMODE specifies execution mode parameters                 Syntax       SETMODE   
206. MINATION defines the illuminating wavelength  the possible x and z tilt of the optical system and  the relative intensity  which is usually set to 1  ILLUM FILTER defines the shape of the illumination  system  The general shapes available are CIRCLE  SQUARE  GAUSSIAN  ANTIGUASS  and SHRINC  The  extent of the source must be defined to be within a square centered at the origin as shown in  Figure 2 61                 The extent of the source is defined by the coherence parameter  SIGMA  SIGMA defines the radius for  circular sources  CIRCLE  GAUSSIAN  and ANTIGAUSS   the x and y intercepts for square sources  and  the radius of each individual SHRINC source element as shown in Figure 2 62        In all cases  anything outside of the square defined by SIGMA 1 will be ignored  The SHRINC source  position is defined by the RADIUS and ANGLE parameters as shown in Figure 2 62  The SHRINC source  can be defined by the command        ILLUM FILTER SHRINC RADIUS 0 25 ANGLE 45 SIGMA 0 1             Source       Region                Figure 2 61  Maximum Extent of the Source Region       Silvaco 2 77    ATHENA User s Manual       Arbitrary sources can be defined by using the ANGLI  transmittance of each source element are controlled by the parameters PHASE          Sigma                            4 Sigma  Sigma       Fi    Sigma  N Z    Sigma  CIRCLE SQUARE  Sigma  Radius    Angle          SHRINC    Figure 2 62  Three Different Source Types       E and RADIUS parameters  Phase and inten
207. MINUM A S SIGMA DEP 0 2    SMOOTH WIN 0 1 SMOOTH STEP 1 UNIDIREC    DEP RATE 1000 ANGLE1 0 00                                           Silvaco 2 61    ATHENA User s Manual       Deckbuild  ATHENA Rate Deposit  GENERAL PARAMETERS    Machine name  test        Material  7  Aluminum    User defined material        Machine type  Unidirectional Planetary Dualdirectional       Conical CYD Hemispherical       Simple MC Single Particle MC   Custom             Deposition rate   1 0 __ v  u min       Surface Diffusion  0 20 0 00      1 00          Smoothing window  01     5 00       Smoothing step  1 10    PARAMETERS FOR DUALDIRECTIONAL MACHINE TYPE  Angle 1  deg   45 00 0 00           Angle 2  deg   45 00  90 00          Comment  Deposit machine test        WRITE            Figure 2 44  ATHENA Rate Deposit Menu    Table 2 4  Deposition Model Required Parameters                                                                            Models   Parameters   CVD JUNI DUAL HEMI CONIC PLANET MONTE1 MONTE2 CUSTOM1 CUSTOM2  dep rate yes yes yes yes yes yes yes yes optional yes  step cov yes no no no no no no no no no  anglel no yes yes yes yes yes no  yes yes no no  angle2 no no yes yes no yes no no no no  c axis no no no no yes yes no no no no  p axis no no no no yes yes no no no no  dist pl no no no no no yes no   no no no no  sigma dep no optional   optional optional optional optional optional optional no yes  smooth win no optional   optional optional optional optional optional optional
208. N sets the minimum power accounted for in multiple reflections  POWER MIN is used in a  multiplicative format  In other words  if power attenuation due to 10 reflections is less than  POWER  MIN it will not be counted for calculation              Silvaco 6 35    EXPOSE    ATHENA User s Manual       Examples    The following statement loads a cross section of an aerial image that you can input  It then runs the  exposure module  The number of reflections increases calculation time when it is set to a value greater    than one              EXPOSE    INF IL       E CROSS SI          ECT NUM R    EF L 3       The following command runs the exposure module for the z   CROSS section of a two dimensional aerial  image that has been previously generated  The x value of the cross section is 0 1              EXPOSE       Note  The D        For more examples  see INIT    EF OCUS parameter on the IMAGI  command must be used in conjunction with the    Z CROSS CROSS VAL 0 1                E statement must be used to do defocus exposure calculations  The image          EXPOSE command for a defocussed bulk image        TALIZE and IMAGE                          Silvaco    EXTRACT       6 21  EXTRACT    The EXTRACT command is used to analyze the current structure or a previously saved file  It can  extract important parameters such as material thickness  junction depth and peak doping levels  It  also includes electrical extractions such as sheet resistance  threshold voltage and CV curves    
209. NA User s Manual       Substituting for o in Equation 3 3 is writing the particle charge as a signed integer  Z4  times the  elementary charge  q  giving us this Flux Expression     I  DKO WC  Z C 4  3 4    In insulator and conductor materials  the electric field is zero  In semiconductor materials  the electric  field is given by     E    Vy  I yn 3 5    qn    where y is the electrostatic potential and n is the electron concentration  If charge neutrality is  assumed  then the electron concentration may be rewritten as     re  poe MBNA Np x  ge 3 6  2 2 i    where Np and N4 are the electrically active donor and acceptor impurity concentrations  and n  is the  intrinsic carrier concentration calculated as     n    NIO  exp  NLE     aac 3 7    kT          where you can specify the NI 0  NI E  and NI POW parameters in the MATERIAL statement  The  electrically active and mobile impurity concentrations are equivalent     Boundary conditions    Boundary conditions within ATHENA are of mixed type and are expressed mathematically as     a Cy  B AC    R 3 8    where  a  B  are real numbers and    C4 designates the flux of C4 across the boundary  The right hand  term  R  accounts for all source terms on the boundary  Boundary conditions are applied at two main  regions     The first region is at the top of the simulation region  the surface   The second region is at the  inter regional interfaces for which the species in question only has a meaningful existence in one of the  region m
210. NA gt  LINE X LOC 0 00 SPAC 0 10  ATHENA gt  LINE X LOC 0 3 SPAC 0 02  ATHENA gt  LINE X LOC 1 SPAC 0 1  ATHENA gt   ATHENA gt  LINE  ATHENA gt  LINE             LOC 0 00 SPAC 0 03  LOC 0 2 SPAC 0 02  ATHENA gt  LINE LOC 1 SPAC 0 1  ATHENA gt  I TIAL SILICON STRUCTURE  ATHENA gt  INIT SILICON C BORON 3 0E14 ORIENTATION 100 TWO D  ATHENA gt  STRUCT OUTFILE  history0l str             KKK          Z                                     The line STRUCT OUTFILE  history01 str is automatically produced by DECKBUILD through the  history function  This function provides an important service when debugging new files  performing     what if    simulations  and visualizing the structure at different steps of simulation  This feature will  be used throughout the tutorial  Use any of the three methods to visualize the initial structure        1  Click on the Tools menu button  DECKBUILD will then automatically save a temporary standard  structure file and invoke ToNYPLOT with this file     2  Click on the Main Control button and the Deckbuild Main Control popup will appear  Then   click on the Plot Current Structure button  DECKBUILD will then automatically save a  temporary standard structure file and invoke TonyPLot with this file     3  Select  highlight  the name of a structure file   history01 str in this case  and click on the  Tools or Plot Current Structure  DECKBUILD will then start ToNYPLOT with the selected  structure file     After a short delay  TONYPLOT will appear  It will h
211. NA with athenamod 97  the syntax is    go athena simflags      modfile 97       Running ATHENA In Standalone Mode  Without DeckBuild     You can run ATHENA outside the DECKBUILD environment  but we don   t recommended it  If you don   t  want the overhead of the Deckbuild Window  use the No Windows Mode  Many important features  such as variable substitution  automatic interfacing to device simulation  and parameter extraction  are unavailable outside the DECKBUILD environment  To run ATHENA directly under UNIX  use the  following command line     athena  lt input filename gt     To save the run time output to a file  don   t use the UNIX redirect command   gt    Instead  specify the  name of the output file     athena  lt input filename gt   logfile  lt output filename gt     Note  Some of the standard examples supplied with ATHENA will not run correctly outside of DECKBUILD        2 6 Silvaco    Tutorial       2 3  Creating a Device Structure Using ATHENA    2 3 1  Procedure Overview    ATHENA is designed as a process simulation framework  The framework includes simulator  independent operations and simulator specific functions that simulate different process steps  e g    implant  RIE  or photoresist exposure   This section describes ATHENA input output and the following  basic operations for creating an input file     e Developing a good simulation grid   e Performing conformal deposition   e Performing geometric etches   e Structure manipulation   e Saving and loading st
212. NOEXECUTE   ECHO                                Description       This command turns on the following execution mode parameters  The UNSET statement allows the    same parameters to be turned off     NOEXECUTE puts all entered statements into a check only mode  If this flag is on  ATHENA will only    check the legality of the input syntax and not execute any statements     ECHO instructs ATHENA to echo all input lines to the run time output  Note that in DECKBUILD  this is  not required as all lines are echoed to the bottom run time window or run time output file by default     Examples    The following statement causes ATHENA to echo each command it receives        SETMODE ECHO                         For more examples  see UNSETMODE                             Note  The parser does not recognize abbreviated forms of these commands  It requires that you enter NOBXECUTE and  ECHO verbatim   Silvaco 6 101    SILICIDE ATHENA User s Manual       6 58  SILICIDE    SILICIDE specifies the silicidation coefficients        Syntax  SILICIDE   SILICON   POLYSILICON   TUNGSTEN   TITANIUM PLATINUM  COBALT    WSIX TISIX PTSIX COSIX MATERIAL  lt c gt       SILICON   POLYSILICO  TUNGSTEN    TITANIUM   PLATINUM   COBALT   WSIX  TISIX PTSIX  COSIX   MATERIAL  lt c gt     MTTYPE  lt c gt     MTTYPE  lt c gt    ALPHA  lt n gt                                 Description    SILICON  POLYSILICON  TUNGSTEN  GAAS  TITANIUM  PLATINUM  COBALT  WSIX   TISIX  PTSIX  and MATERIAL specify the first materi
213. NT  lt n gt    ADAPT   ADAPT COUNT  lt n gt    ADD I LINE  lt n gt     SENSITIVITY   MATERIAL    MATERIAL                    Description  This statement runs the adaptive meshing algorithm or the smoothing algorithm in standalone mode   SMOOTH flag to do mesh smoothing   SMTH COUNT specifies the number of smooth loops during the smooth operation  The default is 1     ADAPT flag to do stand alone mesh adapting  Specifies that a stand alone adaptive meshing step  should be performed to refine or relax the current mesh based on the material impurity specification  given on ADAPT PAR command The default is False     ADAPT COUNT specifies the number of adapting loops during the stand alone adaptive meshing  operation The default is 1     ADD I LINE depth of the shadow interface mesh line in microns  Add the mesh line at the interface  between two materials as defined by the booleans MATERIAL and  MATERIAL  The line is added in  MATERIAL at a distance ADD I LINE from  MATERIAL                    SENSITIVITY specifies sensitivity of adaptation algorithm  The lower value leads to grid with more  triangles  The default is 1 0     MATERIAL one of standard materials or user specified material  see Section 6 2 9     Standard and  User Defined Materials    for the list of materials       MATERIAL one of standard materials or user specified material  see Section 6 2 9     Standard and  User Defined Materials    for the list of materials      Examples    The following statement will add a s
214. ON THICK 0 02 DIVISIONS 8 C BORON 5E18    C GERMANIUM 1E22 F GERMANIUM 1E21   DIFFUSE TIME 4 TEMP 650 NITRO PRESS 1                                                                This section will give a brief explanation of the statements and possible variances  A more detailed  description of the individual parameters are given in the ATHENA notes files and in Chapter 6      Statements        2 7 1  METHOD Statement    FULL CPL is the recommended diffusion model for boron to obtain the best accuracy  It is  however   slower than other diffusion models due to the larger number and more complex inter relations taken  into account        MIN TEMP is required if the deposition temperature is below 700  C  which is the minimum calibrated  temperature for ATHENA in standard processing  Select a MIN  TEMP value below the deposition  temperature otherwise  no diffusion will be calculated  The MODEL  SIGE parameter invokes the silicon  germanium models     2 7 2  MATERIAL Statement    The following statement specifies reasonable user definable parameters for the SiGe models in the  material silicon                       MATERIAL SILICON NIFACT SIGE 100 EAFACT SIGE 1 5 NO FLIP                   The NO FLIP parameter prevents automatic mesh optimization  which preserves the user defined x  grid spacing  ATHENA often will try to remove what it believes are excessive grid points during  DEPOSITION ETCH statements  It is not advisable to remove mesh points in the base of an HBT  which  i
215. PH specify the wafer s miscut  The explanation of these parameters is as  follows  Let   s consider the internal coordinate system of the crystal structure  xyz  to be right hand  oriented  where y is the inward direction relatively to the surface  You can then define the misorienting  of the surface by tilting the wafer by MISCUT TH degrees in the xy plane and rotating it counter   clockwise in the xz plane by MISCUT PH degrees if MISCUT PH is positive and clockwise if  MISCUT PH is negative  Remember that ROT SUB  MISCUT TH and MISCUT PH are measured  from the internal co ordinate system  compared to the ROTATION parameter  which is measured from  the wafer s major flat defined by ROT   SUB  The simulation plane shown in Chapter 3     SSUPREM4  Models     Figure 3 23 is defined by the ROT SUB parameter  In the case of silicon carbide  the                                        simulation XY plane for 4H SiC is  1100   In other words  if specified by ROT  SUB 0 in the  INITIALIZE statement  then                    e a miscut of 8   towards the  1 20  direction  i e   in the  1 01 0  plane  is specified by  MISCUT TH 8 and MISCUT PH 60        e a miscut of 8   towards the  7010  direction  ie   in the  1 1 20  plane  is specified by  MISCUT TH 8 and MISCUT PH 90     TRAJ FILE specifies the name of the file in which ion trajectories calculated with the Monte Carlo   BCA  method are to be saved              Note  This parameter switches off statistical sampling if it s specifie
216. PHOR  lt conc gt   and so on     The new parameter SS IMPURITY  where the generic name IMPURITY could be substituted by  any standard impurity name  specifies that concentration of the named impurity in the ambient  gas is set to its solid solubility in silicon at the current temperature     E 10  Changes in the ETCH statement    TRAPEZOI is an alias for the DRY     If THICKNESS is not specified  it assumed to be infinite        E 11  Changes in the STRUCUTURE  SAVEFILE  statement    OUT FILE is an alias for OUTFILE     TIF  DEVICE  and MEDICI are ignored because ATHENA and other SILVACO TCAD tools use  the universal SSF data format        E 12  Changes in the IMPLANT statement     D PLUS is an alias for PLUS ONE and UNIT DAMAGE           D SCALE is an alias for DAM  FACTOR     IMPL TAB is ignored  ATHENA usually uses the default set of implant tables  You can define  tables in the MOMENTS statement        E 13  Changes in the ELECTRODE statement    BOTTOM is an alias for SUBSTRATE        E 14  Changes in the METHOD statement    OX ADAPT  IMP ADAPT  and DIF ADAPT are aliases for ADAPT   PD FERM1 is an alias for FERMI    PD TRANS is an alias for TWO DIM    PD FULL is an alias for FULL CPL        E 15  Changes in the MATERIAL statement    E FIELD specifies that the electric field terms are to be accounted for in the diffusion calculations   This parameter is always set to TRUE in semiconductors        POLYCRYS is an alias for the POLY  DIFF parameter in the METHOD statement    
217. Parameters for Carbon Effects in SiGeC       KCARBON 0 and KCARBON E specify interstitial recombination rate in carbon sink  KCARBON  0    is the pre exponential constant for the rate in sect  and KCARBON E is the corresponding activation  energy in eV              DCARBON E specifies the coefficient of interstitial diffusion retardation in SiGe in presence of  carbon impurity  The units are eV     Basic Example    The following statement specifies the silicon diffusion and equilibrium values for interstitials           INTERST SILICON DI 0 5 0E 7 D E 0 0 CSTAR 0 1 0E13 CSTAR E 0 0                      Defect Injection during Oxidation Example    The following statement specifies the oxide silicon interface injection for DRYO2 ambient is to be  computed using the oxide growth velocity and with 1  of consumed silicon injected as interstitials        INTERST SILICON  OXIDE GROWTH VMOLE 5 0E22    THETA 0 0 01 THETA E 0 0                                  Surface Recombination Example    The following statement specifies the surface recombination velocity at the nitride silicon interface is  3 5 x 10   cm sec     INTERST SILICON  NITRIDE KSURF 0 3 5E 3 KSURF E 0 0 KRAT 0 0 0                Experimental Injection Model Example    The following statement describes an injection at the silicon oxide interface that exponentially decays  in time        INTERST SILICON  OXIDE INJ STR    10 0E4 EXP  T   10 0                      General Comments       The equivalence of INTERSTITIAL and V
218. Physical parameters that are specific for  various materials  such as viscosity and surface tension  are also given in MATERIAL statement  Reflow  will proceed according to the time and temperature given in the DIFFUSE statement  The finite element  solver are invoked by specifying the VISCOUS parametrs and various numerical control parameters in  the METHOD statement                       The viscous creep flow equations solved are as follows  see  97       uv V   VP 4 28  vey   1 2  p i  u  ene ee 4 30  2 1 v     where V is the velocity  P the pressure  u the viscosity  v the Poisson   s ratio  and E the Young   s modulus   The parameters v and E can be specified as POISS R and YOUNG Min the MATERIAL statement           4 20 Silvaco    ELITE Models       4 6  Chemical Mechanical Polish  CMP     Chemical Mechanical Polish  CMP  is a module in ATHENA  To run CMP  you need to have the license  to use ELITE  CMP is used to model wafer planarization using polishing pad and chemical slurry  characteristics  CMP is also used to circumvent two major problems  First  the depth of focus of high  numerical aperture lithography systems  Second  metal thinning that can occur over non planar  topographies    The CMP module that is incorporated into ATHENA has two distinct models  The first is the Hard  Polish or Buzz Saw Model  98   The second is the Soft Polish Model based on the work of J Warnock   99   To access these models  use the ATHENA statements  RATE POLISH and POLISH  These st
219. Powers in Amorphous Materials and  Range Validation              ss cccssscesesereeeseeeeeseeeenesees 3 89 90  Two Dimensional Implant Profiles           eeeeeeseeeeeeeees 3 72 75  lon Implantation Damage  C lnterpret  tes sisi tatititat dei gained ia Be ae 3 89  Cluster Model   ists reier ss 3 88  Dislocation Loops Model               eeseeceeesseeeesneeeesneeeeeneeeees 3 88  Plus Modelen kaiina a ae net 3 87  K  Kinchin Pease model                   ccccccceeeeeeeeseessseeeeeeeeees D 7  D 8  Klaassen bandgap narrowing model                  ccceeeeeeeeeeeeeeeees 2 52  L  Linear Rate Constant  Chlorine Dependence           ccecceescessteeeeeeeeeeeeneeseeeeeanensees 3 54  Doping Dependence              ceeeeeeeeseeeeeeneeeesneeeteeeeees 3 55 56  Orientation Dependence             eecececeeseeeeeteneeseeeetretees 3 52  Pressure Dependence           eeecceeeeeeeeeseeeeeeneeeesneeeseneeeens 3 53  EPOVD   EET E T 4 10  Lithography    esiseina as eir ee 5 15  M  MaskViews  Generating Masks in ATHENA             seceeeseeeeeeeeeeeeees 2 71 73  Initial Rectangular Grid          ecceeeeeeeeeseeeeeneeeseeeeeeeeeeteaeee 2 65  Medium Injection  Bandgap Narrowing Effects            esscessseeeeseeesneeeeeneeeees 2 52  Poly emitter work function 00    eeeeeeeeteeeeeeneeeeeeeeteeeeees 2 51    Modelling the Correct Substrate Depth  See Correct Substrate Depth  Modelling    Modified Gaussian Function  MGF              cesseesseeeeeeeeeeneees 3 75  Monte Carlo Etching Model  Incoming lons and
220. RESS model regards the oxide as a  compressible liquid  The VISCOUS model treats the oxide as an incompressible viscous liquid  Oxide is  actually believed to be incompressible  but the compressible model runs faster  The default is the  COMPRESS model                                                                                      Note  For Hints on the use of the different oxidation models  see Chapter 2     Tutorial        Note  Use of the VERTICAL model is not recommended in ATHENA       LIFT POLY  LIFT OXIDE  and LIFT NITRID specifies that the polysilicon  oxide  and nitride  materials can be lifted by oxidation or silicidation processes  These are t rue by default  but you set  them to false to eliminate the lifting portion of the calculation for geometries where lifting is not  expected to occur     OX THRESH specifies that the oxidation threshold model is enabled  This doesn   t allow oxidation  when the concentration of oxidant drops below a critical threshold value set by MIN  OXIDANT on the  OXIDE statement           Silvaco 6 67    METHOD ATHENA User s Manual             SKIP SIL is a Boolean parameter which controls the computation of stress in silicon  SKIP SIL  defaults to true  stress can only be computed when the VISCOUS oxide model is used  The silicon  substrate is treated as an elastic solid subject to the tensions generated by the oxide flow   Indiscriminate use is not recommended  The silicon grid is usually much larger than the oxide grid  and stres
221. RF  lt n gt              Description       This statement allows you to increase grid spacing  You can place the RELAX statement anywhere  within the input file  RELAX commands  however  are ignored if ATHENA is in 1D mode  The RELAX  statement also includes an algorithm for relaxing grid on the surface of the simulation structure                    MATERIAL specifies that RELAX will only apply to the regions of this MATERIAL  see Section 6 2 9      Standard and User Defined Materials    for the list of materials   If MATERIAL is not specified  RELAX  will be applied to all materials in the box     X MIN  X MAX  Y MIN  and Y MAX specifies the corner coordinates of the RELAX box  Units are  microns  Default is bounding box of the current simulation structure     DIR X or DIR Y allow the direction of the grid relax to be controlled  DIR X and DIR Y are true by  default  i e   when the RELAX statement is encountered  the grid is relaxed in both directions by  default   When DIR  X or DIR  Y is selected as false  i e   DIR  X F or DIR  Y F   then the grid is only  relaxed in the direction that is left as true     SURFACE specifies to relax the surface grid                                            DX SURF specifies a minimum size for surface segments   Examples    RELAX SILICON X MAX 1 Y MIN 0       This statement changes a grid over a rectangular area in silicon from the left side of a structure to 1  and from y 0 to the bottom of the silicon     Note  RELAX will not make any c
222. RGENCE is the standard deviation of  this distribution in degrees  CHEMICAL is the etch rate for this component of the RIE model        D 18 Silvaco    ATHENA Version History       Chemical Mechanical Polish    e Two models for chemical mechanical polishing have been added to ELITE  They are the Burke  model  hard polish  and the Warnock model  soft polish   The Burke model polishes the structure at  a rate proportional to the pattern factor of the structure  The Burke parameters MAX HARD and  MIN HARD are the maximum and minimum polish rates and are entered via the RATE POLISH  statement  MAX HARD corresponds to a pattern factor of zero and MIN HARD corresponds to a  pattern factor of one  The actual polishing rate is calculated on the line between MAX HARD and  MIN HARD depending on the pattern factor of the structure being polished     The Warnock model has four parameters on the RATE POLISH statement  SOFT sets the polish  rate  HEIGHT FAC is the vertical deformation scale in microns  LENGTH FAC is the horizontal  deformation scale in microns  The polishing rates for tall features and holes are calculated using  HEIGHT FAC and LENGTH FAC  HEIGHT FAC measures how much the polishing pad will deform  with respect to the height of the feature  LENGTH FAC measures the distance the effect of a tall  feature will be felt  LENGTH FAC is a measure of the stiffness of the pad and the distance at which  shadowing will be felt by a tall feature where HEIGHT FAC is a measure of the sp
223. RPENDICUL and PARALLEL specify TE mode or TM mode respectively  PERPENDICUL is the  default     X CROSS and Z CROSS specify that the cross section is parallel to the x axis  z constant  and  parallel to the z axis  x constant   respectively  X CROSS is the default     CROSS VALUE specifies the x or z coordinates of the cross section of the aerial image  The default  will be centered in the image window  Units are microns     DOSE specifies the exposure dose in mJ cm      X ORIGIN locates the beam relative to the structure  This allows the aerial image to be shifted if  necessary  Units are microns  The default is 0 0     FLATNESS specifies the accuracy of the change in surface topography in degrees  A value of zero  specifies that all grid points will be calculated  The default value is 0 25  In any case  maintain the  limits 0  lt  FLATNESS  lt 1     NUM REFL specifies the number of reflections to be considered     FRONT  REFL specifies that front surface reflection should be considered in the calculation  The  default is no front reflection     BACK REFL specifies the back surface reflection  The default is no back reflection   ALL MATS specifies that intensity be displayed in all materials  The default is photoresist only                                MULT EXPOSE is used to make multiple exposures  MULT   EXPOSE is specified on the second EXPOSE  command for addition of exposures  If MULT EXPOSE is not specified  previous exposures will be  erased                 POWER MI
224. S   SECONDS    DT FACT  lt n gt    DT MAX  lt n gt    DX MULT  lt n gt     MC REDEPO   MC SMOOTH    lt n gt    MC DT FACT    lt n gt    MC MODFNAME    lt c gt                                                                                                            Description    ATHENA provides two different etch simulation methods  The first is geometrical etching available  within any ATHENA module  The second is physical etching available only in ELITE     Parameters used for Geometrical Etching    MATERIAL specify the material to be etched  see Section 6 2 9     Standard and User Defined  Materials    for the list of materials   If a material is specified  only that material is etched even if other  materials lie within the etch region  If no material is specified  all materials in the etch region are  removed     NAME RESIST specify the type of photoresist to be etched   ALL specifies that all of the specified materials are removed     DRY indicates that the resulting surface will replicate the exposed surface and will simply be lowered  by a fixed depth of THICKNESS microns below the exposed surface  If ANGLE or UNDERCUT or both is  specified  the shape of DRY etched region is modified accordingly  TRAPEZOI is a synonym for this  parameter     THICKNESS specifies the thickness to be etched for the dry etch type  Units are microns                       ANGLE specifies sidewall slopes in degrees  90   corresponding to vertical slope is the default      UNDERCUT specifies
225. S N     At each time step  Equation 3 139 is solved  The incremental oxide thickness grown is calculated by  multiplying Equation 3 139 by the time step  During the oxidation reaction silicon atoms bond with  the oxidant to form the SiO   compound  Thus  silicon material is removed during the oxidation  process  The ratio of the silicon thickness consumed to form a given thickness of SiOz is specified using  the ALPHA parameter in the OXIDE statement     Equation 3 138 is sufficient to describe the motion of the Si SiO  interface if the oxide flow is in the  same direction as the growth  for planar oxidation structures   In most structures of interest  the oxide  flow is two dimensional  Therefore  additional equations have to be solved  Both the Compress and  Viscous models calculate the two dimensional flow of oxide elements by solving a simplified  hydrodynamic creeping flow equation           3 46 Silvaco    SSUPREM4 Models       3 3 2  Compress Model    In addition to solving Equations 3 138 and 3 139  a simplification of the hydrodynamic flow equation is  solved to obtain the flow of oxide elements  34   The Compress Model is activated by specifying  COMPRESS in the METHOD statement prior to a DIFFUSE statement  The Compress Model is the default  oxidation model in SSUPREM4  Neglecting the acceleration and gravitational terms in the  hydrodynamic flow equation  the creeping flow equation is given by    uv V   VP 3 140    where P is the hydrostatic pressure  V is velocit
226. SNA AAAS    PARES     aN     i          NY  Se  Na  RERET    Bie    aa Gaal   LA a AAA   Cee   AA    SAREE ARISE  PS ARSARARARS ARI    SSS  SSNS    RAR AREBRRARR ESS    rs  EEEE  SARS  AN    BREN    a    ae a    K  FJ  a                                                                                                                                  w A F A i  microns          WACO International 1993       Figure 2 14  Grid Control for Deposition       Silvaco 2 19    ATHENA User s Manual       Simple Geometrical Etches    The next step in this tutorial    process simulation is to define the polysilicon gate definition   Implant    and thermal steps will be discussed in Section 2 4     Choosing Models In SSUPREM4      To set a  geometrical etch step  select Process gt Etch    gt Etch    from the Command menu of DECKBUILD  The    ATHENA Etch Menu  Figure 2    Materia    Thickness  om       Etch Method  Etching Machine  Geometrical type   all   Left   Right   Dry thickness   Any shape    Etch location  um   0 3 0 00 d H 10 00    Athitrary points       X fecation  T     YX fecation I     Comment  Poly definition        15  will appear        I  Polysilicon            Figure 2 15  ATHENA Etch Menu    The Geometrical etch is the default method  Other methods will be discussed in Section 2 8 2      Deposition and Wet Dry Etching using the Physical Models in ATHENA ELITE     Select Polysilicon  from the Material menu  This example will use a polysilicon gate edge at x 0 3 and set the 
227. SOTROPIC specifies the isotropic etch rate used by the WET ETCH and RIE models  The isotropic  etch rate is the contribution of thermal atoms  radicals  and molecules coming out of the plasma  These  are assumed to have an isotropic angular distribution  Therefore  the isotropic etching may lead to an  underetching of the mask     CHEMICAL  DIVERGENCE  CHEMICAL is the etch rate in the RIE model normal to the ion beam  when the DIVERGENCE is specified as non zero  DIVERGENCE specifies the beam divergence used by the  RIE model  The angular distribution of the ions coming down to the wafer is Gaussian                                               Silvaco 6 91    RATE ETCH ATHENA User s Manual       Parameters used for Plasma Etch Model  PRESSURE specifies the plasma etcher reactor pressure  Units are mTorr  Default 50 mTorr     TGAS specifies the plasma etcher reactor gas temperatures  Units are   K  Default is 300   K     TION specifies the plasma etcher reactor ion temperatures  Units are   K  Default is 300   K   VPDC specifies the DC bias in the plasma sheath  Units are V  Default is 32 5 V    VPAC specifies the AC voltage in the sheath bulk interface  Units are V  Default is 32 5 V   FREQ specifies frequency of the AC current Units are Mhz  Default is 13 6 Mhz    LSHDC specifies the mean sheath thickness  Units are mm  Default is 0 005 mm    LSHAC specifies the AC component of the sheath thickness  Units are mm  Default is 0 0   MGAS specifies the atomic mass of the gas at
228. SPACING  lt n gt    TAG  lt c gt    TRI LEFT TRI RIGHT           Description    This statement defines the position and spacing of mesh lines  All LINE statements should come before  the REGION and BOUNDARY statements  which should then be followed by an INITIALIZE statement           X and Y specify whether a mesh line is horizontal or vertical     LOCATION specifies the location along the chosen axis  in microns  at which the line should be  positioned  The x coordinate increases from left to right  the y coordinate increases progressing from  top to bottom going into the substrate  This is the opposite of normal Cartesian y axis progression  which increases going upward     SPACING specifies the local grid spacing  in microns   ATHENA adds mesh lines to the ones given  according to the following recipe  Each user line has a spacing whether user specified or inferred from  the nearest neighbor  These spacings are then smoothed out so no adjacent intervals have a ratio  greater than the value given by INTERVAL R on the INITIALIZE statement  default is 1 5   New grid  lines are then introduced so that the line spacing varies geometrically from one end of the interval to  the other  Refer to the example below           TAG labels lines for later reference by BOUNDARY and REGION statements  The tag label can be any  word     TRI LEFT and TRI RIGHT can be specified in the LINE X statement to control triangle orientation  in the initial grid  Initial simulation area is divided in
229. See Figure 2 58         2 74 Silvaco    Tutorial          Figure 2 58  MASKVIEws Properties Popup showing the Simulation Menu    Open the Simulator menu and select the ATHENA OPTOLITH menu item  Customized controls for  MASKVIEWS OPTOLITH will appear in the MAsKVIEWS window  The colored buttons on the right side of  the window are discrete controls for phase in degrees and intensity transmittance  The buttons first  appear as phase  To change to transmittance  open the Phases menu above the buttons and select the  Transmittances menu item  This will change the buttons from phase to transmittance controls   Continuous controls for phase and transmittance are located directly below the colored buttons     The mask can now be designed using the mouse driven line writer following the description outlined in  the MASKVIEws chapter of the VWF INTERACTIVE TOOLS USER   S MANUAL  VOL  I  Once the mask is created   it should be saved to a file with a name ending in a   lay extension for future editing  It is important to  be aware that there are two types of files that can be saved from a MASKVIEws layout information     The first type is the layout file  This file includes the information about layers and mask features  To  store this information  select the Files Save menu item in the MASKVIEWS screen  The second type of  file that can be saved from MASKVIEWS is a file that is similar to the layout file but is written to  interface with ATHENA OPTOLITH  The file to be used by OPTOLIT
230. Ss      e    gt   z   e      pA  Q  pe        T  Q  g  Ss  fai  Ba  uu    SENSITIVITY of Vt to THETA O  20keV Boron  3 min Gate Ox   850C                    Threshold Voltage  v                    0 5e 08 1e 09 15e 09 2e 09 25e 09 3e 09    Theta 0             SILVACO International 1996    Figure 2 34  A Typical Dependence of Extracted Threshold Voltage       Silvaco    Tutorial       2 5 3  Tuning Implantation Parameters    You can now tune two implantation parameters by using the threshold voltage versus gate length  data  The peak value of threshold voltage for a given process flow  the reverse short channel effect  will  be a function of the initial implant damage caused by the LDD and source drain implants  Since these  implants have a high total dose and damage  the tuning parameter here is the clustering factor  In  ATHENA  this parameter is called CLUST FACT and is defined in the CLUSTER statement  The higher  the clustering factor  the greater the damage  and the greater the diffusion  the greater the reverse  short channel effect     Figure 2 35 shows the effect on the threshold voltage of changing the CLUST FACT parameter for a  typical process flow        TonyPlot V2 6 6  Filey  View    Plot  Tools    Print  Properties 7  Help 7   _           xl 4      EFFECT OF DAMAGE FACTOR ON TH RESOLD VOLTAGE  ajeje 5min 850C nitrogen anneal      V A    0 26        clust fact   0 0  clust fact   0 5  clust fact   1 0  clust fact   1 4  clust fact   2 0                         S
231. T statement of  DECKBUILD or both  and the normal information messages generated by ATHENA  The number of  messages generated depends on the output mode chosen in the OPTION statement  The QUIET mode is  the default  Minimum output is generated in this case  all statements are echoed and the status of a  time consuming simulation is reported  The NORMAL option produces some additional output  information  including information about the current grid  e g   number of nodes or triangles    VERBOSE and DEBUG modes are useful for debugging but these options produce too much output for  any other purpose                             Standard Error Output consists of the warning and error messages describing syntax errors  file  operation errors  system errors  and internal inconsistencies     Standard Structure File Format    The main channel of information exchange between ATHENA and other simulators and tools is the  Standard Structure File  SSF  format  SSF is a universal file format used by a number of Silvaco  simulation programs  The STRUCTURE statement of ATHENA creates a Standard Structure File  which  contains mesh and solution information  model information  and other related parameters        The saved Standard Structure File can be used by the following     e ATHENA to re initialize the structure and continue process simulation     e ATLAS or other device simulators to perform electrical analysis of the structure produced by  ATHENA     e TonyPLot to graphically display
232. TA  Notes    The usual reason for employing a Rapid Thermal Anneal  RTA  in a process flow is to anneal out  damage in the substrate that has been caused by a previous process step  usually an implant  while at  the same time minimizing dopant diffusion  Dopant activation also occurs during this process  These  anneals are usually high in temperature and low in duration for sound device physics reasons     Once again  the key to accurate simulation of RTA lies in the accurate simulation of substrate damage  behavior  The role of interstitials in enhanced dopant diffusion has already been explained in Section  2 4     Choosing Models In SSUPREM4    to become familiar with the role of interstitials during process  simulation     The reason why an RTA usually employs high temperatures and short durations is because for a given  high dose implant  if an anneal duration is selected so that a fixed percentage of the damage is  annealed  the lower the anneal temperature  the more dopant diffusion occurs     The above statement requires an explanation since intuitively  the opposite would seem more likely  A  descriptive explanation of what is happening can be informative if the two extremes of anneal  temperature are considered     For the lowest anneal temperatures  the damage anneal rate is almost zero  so dopant diffusion rates  are enhanced by a factor of 1000  C or more for the long time periods required to remove the damage   This results in high total dopant diffusion     For
233. TOR  parameter  The effect of the damage on subsequent diffusions are modeled in ATHENA using the fully  coupled diffusion model  METHOD FULL CPL   A previous Hints and Tips covered a description of this  in the    Simulation Standard     February 1995     To model RSCE in ATHENA and ATLAS it is necessary to construct MOSFETs of different channel  lengths  This can be done either using the MASKVIEWS layout interface  or using the STRETCH  command in ATHENA or DEVEDIT  The user should simulate the shortest channel length up until the  polysilicon etch and stretch the device to the desired length  The FULL CPL model is only required for  diffusion after the source drain implants           Figure C 6 shows the result of a threshold voltage simulation versus gate length for various values of  implant damage  vwF was used to automatically generate and run this experiment  VWF handles the  automatic interface to ATLAS and the extraction of the threshold voltages  Looking horizontally along  the y 0 line  it is seen that with zero implant damage the threshold voltage decreases with decreasing  length  No RSCE is seen  However as DAM FACT is increased  the threshold voltage starts to rise  before falling at very short lengths  It is clear the size of the RSCE increases with implant damage  factor     It is also interesting to note that even the threshold voltage for the 20mm long device is affected  slightly by the implant damage  This is to be expected from Figure C 7  which shows p
234. This  function  is only available in the mesh based ATHENA framework and can not be implemented into a  string based tool     Void Formation Control   Extra control has been added to allow the control of the formation of a  void in the case of two encroaching CVD fronts     D 12  OPTOLITH    Image Routines Enhancement   The algorithm in evaluating the aerial image of the mask has now  been streamlined  Approximately  the speed improvement is equivalent to a change from n n to  n log n   For a complex mask  the speed can be as high as 20X  A minor bug in calculating the  diffraction pattern has now been removed     Exposure Routines Improvement   Optolith Exposure now runs around 4 5 times faster than  version 3 0  This has been achieved by restructuring the ray tracing algorithm used to expose a given  non planar device structure     In addition  an error in setting up the boundary conditions for the electromagnetic wave has been  corrected to yield the proper standing wave pattern  The asymmetry in energy deposition for a  symmetric structure has also been fixed     New Material RSM Calibration System   When used with the VWF system Optolith may be used  to calibrate physical model parameters  Example model parameters include A  B  C bleaching  parameters and Development rate parameters for all Development rate models  The system will fit  simulation model parameters to a range of experimentally measured CD data     D 13  ATHENA Version 3 0 1 R Release Notes  D 13 1  ATHENA 
235. TonyPlot  Window  select Plot Display and a popup will appear  Then  pull down the Group menu and select  the Dose menu item  This will group the set of plots for each exposure dose                    Silvaco 2 85    ATHENA User s Manual       2 10  Adaptive Meshing    2 10 1  Introduction to Mesh Adaption    ATHENA has a built in mesh adaption module that automatically adapts the grid to dopant profiles   Used together with implantation and diffusion  the module can achieve more optimized accuracy of a  given profile   s representation for a given number of grid points  This relieves you to some extent from  the time consuming mesh generation task in the simulation structure preparation stage  It will also  improve the accuracy and speed of the subsequent diffusion oxidation epitaxy stages where impurity  profiles change with time     The algorithm used was suggested in  3    4   It uses an efficient local error estimator and a  triangulation scheme suitable for complex two dimensional moving boundary problems     Adaption During lon Implantation    Ion implant is a common process step to introduce impurities into the substrate to form active device  regions  Prior to the implant step  it is difficult to determine the required mesh density distribution  because the exact dopant profile is unknown before processing  Thus  you can only estimate the profile  and required mesh  It   s a time consuming process to specify mesh generation statements to create the  mesh with a densi
236. ULT is the accuracy multiplier for ELITE etches  The discretization size used for the etch  calculation will be multiplied by DX MULT  For improved accuracy at the cost of extra simulation time   decrease the value of DX   MULT     Parameters used only with MC PLASMA model  MC REDEPO specifies that redeposition of polymer should be simulated  Default is true   MC SMOOTH specifies level of smoothing of the surface   MC DT FACT specifies time step control for Monte Carlo etching and redeposition     MC MODFNAME specifies name of the C Interpreter file with user defined Monte Carlo etching and  redeposition models     Simple Geometrical Etch Example    The following command etches all the nitride to the left of a vertical line located at x 0 5        ETCH NITRIDE LEFT P1 X 0 5                Silvaco 6 33    ETCH    ATHENA User s Manual       Arbitrary Geometrical Shape Etch Example  The following set of commands etch the oxide in the square defined at  0 0    1 0    1 1   and  0 1         E       dah        E             E    CH  CH  CH  CH    OXIDE START X 0 0 Y 0 0          CONTINU     E X 1 0 Y 0 0          CONTINU           E X 1 0 Y 1 0    DONE X 0 0 Y 1 0    Be careful when using this style of syntax that the list of coordinates forms a regular polygon  The  closing line from the last coordinate pair to the initial point is automatically added     Anisotropic Geometrical Etch Example    The following command finds the exposed surface and lowers it straight down 0 1 microns
237. URTOSIS   SKEWXY    SRANGE  lt n gt    SSTD DEV  lt n gt    SGAMMA  lt n gt    SKURTOSIS  lt n gt    LSSTD DEV   SSKEWXY   SKURTXY   SKURTT   DRATIO  lt n gt    IGNORE_MOM                                               Description  Parameters Used to Select Moment Tables    SVDP_TABLES specifies that the SIMS Verified Dual Pearson  SVDP  moments tables will be used  with dual Pearson implant model  Default is true  See Chapter 3     SSUPREM4 Models     Section 3 5 1      Analytic Implant Models            STD_TABLES specifies that SVDP_TABLES are ignored and standard tables are used with the  subsequent implant statements        USER_STDT specifies the user defined moments file  see the USER_TABLE parameter  will be used  with standard format  You can find a template for the user defined moments file in   lt install area gt  lib athena  lt version gt  common userimp              USER_TABLE  lt c gt  specifies the file that contains user defined look up implant parameter tables   Implant Definition Parameters    MATERIAL specifies the material for which the implant moments are set  see Section 6 2 9      Standard and User Defined Materials    for the list of materials      I IMPURITY specifies the implanted impurity for which the moments are set  see Section 6 2 10      Standard Impurities    for the list of impurities   I  BF2 can be also specified              DOSE is an incident ion dose   em     ENERGY sets the incident ion energy  keV    Parameters Used for Specification o
238. USE  TIME  lt n gt   HOURS  MINUTES   SECONDS    TEMPERATURE  lt n gt   T FINAL  lt n gt  T RATE  lt n gt     DRYO2  WETO2 NITROGEN INERT   HCL PC  lt n gt    PRESSURE  lt n gt    F 02  lt n gt  F H2  lt n gt  F H20  lt n gt  F N2  lt n gt  F HCL  lt n gt    C IMPURITIES  lt n gt    DUMP   DUMP  PREFIX  lt c gt    TSAVE  lt n gt    TSAVE MULT  lt n gt    B MOD  lt c gt    p MOD  lt c gt    AS MOD  lt c gt    IC MOD  lt c gt    VI MOD  lt c gt    NO DIFF   REFLOW                                                                                            Description    This command specifies diffusion and or oxidation silicidation steps  Any impurities present in the  wafer are diffused if they have non zero diffusivities  The oxidation and diffusion control parameters  are contained in the associated METHOD  OXIDE  and SILICIDE statements  Default coefficients are in  the ATHENAMOD file available from the DeckBuild Commands menu under Models  To change model  coefficients  refer to the appropriate IMPURITY statement for information                 Parameters to Define the Diffusion Step  TIME specifies the amount of time for the diffusion step in specified units     HOURS  MINUTES  and SECONDS specify the units of the TIME parameter  Default is MINUTES                       TEMPERATURE specifies the ambient temperature in   C  This temperature should fall within the    range between 700 and 1200  C  Outside of this range  the diffusion coefficients may be inaccurate and  numeri
239. X 4 2  4 3  Deposition Model i lt c civiec casing deena Sear er ae ade meas ae ae ate alana 4 4  4 3 1  Conformal Deposition ssi  oeubinseberi te eeiinnd wpe binds dewdimecbie edi ew ieetsweeies 4 4  4 3 2  CVD Deposition  e derni enri rannesenseauidad edad ch e a pad ebecee ene  4 4  4 3 3  Unidirectional Deposition a5  255 5 nck ioe a een eae eek Seek onthe SENS oad seid eacct ek eM ace Ged 4 4  4 3 4  Dual Directional Deposition         tae 8 octet kere Mosier darters aranaren 4 5  4 3 5  Hemispheric Deposition           ss Bi tenet FU Me eat lB oh iadie te Bert ise h aa Bl 4 6  A 3 6  Planetary Deposition  Sent otal oon cep shoes Lees eet ante hee Soe e ic ieee Sie oe aes 4 7  4 3 7  Conical Deposition          nennen ane tna aunt Ae aa ok Sab Rey OS a atone an ee i 4 9  4 3 8  Monte Carlo Deposition  uct  te ri n Ck ie a Sete cae ee One eee al ead tie  4 10  4 3 9  Custom Deposition MOdelSyv ci 22h dice toasid ews ceden a teadereemeta bine eietia mee Lek 4 11  4 4  Eteh ModelS isinisi inisGuensaedrewkradedaswodateeneeg DWE EE AR e eee ead ee aa 4 12  4 4 1  Isotropic Etch Model  esssciccsuensa tins cael ada ta eit ee edad Cate k esd PM RE Med adda Valet  4 12  AA OERIE MOG  sctee te ci oie o ee ee elie ee a aa aa EEEE REE ent  4 12  4 4 3  Dopant Enhanced Etching 2  e0ac2esawer ee u att esate aay awe ce eed wate Rha wee ee eee 4 14  4 4 4  Plasma Etch Mod  l  sasira aisee mre acana peste AEE Aa wicca E E ceeds 4 14  4 4 5  Monte Carlo Etching Model          ununun annaa 
240. XIDE        DIFFUSION is alias for DIFFUSE           Note  PRINT  1D issues a warning message if there is no SELECT statement prior to it              ELIMINATE is not used in ATHENA and therefore is ignored  A warning is then issued  The          RELAX statement should be used instead  The RELAX capability is similar but more flexible since it    can be used in anywhere in the input deck     ELECTRICAL and MOBILITY are not used in ATHENA and therefore are ignored  A warning is  issued  The EXTRACT capabilities of DECKBUILD should be used instead     EXTRACT is not used within ATHENA and therefore is ignored  DECKBUILD has superior extract          capabilities  The EQUATION  REAC  Therefore  they are ignored           LOADFILE is alias for INITIALIZE        TION  and INTERMEDIATE statements are not part of ATHENA                    PLOT  in TSUPREMS decks  is ignored and TONYPLOT should be used instead     PLOT 1D  PLOT 2D  PLOT 3D  CONTOUR  LABEL  and COLOR statements are depreciated  in ATHENA  Warnings are issued  TONYPLOT should be used instead     PRINT  in TSUPREMS decks  is alias for PRINT  1D     SAVEFILE is alias for STRUCTURE       Di        Silvaco    E 6    TSUPREM4 and TSUPREM3 Compatibility Features       E 7  Changes in the INITIALIZE statement    Boolean parameters  lt 100 gt    lt 110 gt   and  lt 111 gt  that specify crystalline orientation of the silicon  substrate are aliases for ORIENTATION 100  ORIENTATION 110  and ORIENTATION 111              DX RATIO 
241. _val     Vacancy concentration     double  CL_val     311 Cluster concentration     double  DL_val    Dislocation loops concentration           if   mater         only in Silicon             The function modifies  1 interstitial generation model   The interstitials are generated only in unamorphized layer  where damage is less than 0 1 of atomic density of Si     if   implanted_dam  lt  5e21     T val   implanted_conc     return        Silvaco A 1    ATHENA User s Manual       The function receives the following input values     e material index    e xandy coordinates of the point in the structure where damage is calculated    e implant concentration at this point    e implant damage but only when the Monte Carlo BCA model is used for the current implant  calculations     This allows you to return the values of interstitial and vacancy concentration  and concentrations of   311  clusters and dislocation loops  If one or few of return values are not modified in the function the  corresponding concentrations will remain unchanged after the implant     The function then needs to stored as a file  i e   damage 1ib   The model stored in the function can  then be activated by specifying the DAM MOD DAMAGE  LIB parameter in the IMPLANT statement           Note  Prior to ATHENA version 5 4 0 R  DAMAGEMOD   FN  DAM  MOD  was a parameter in the MOMENTS statement       When you execute the IMPLANT statement using analytical or Monte Carlo models  the specified C   Interpreter function w
242. a delves  2 55  6 25 27   MATERIAL 2s  scesessvseneeerteesapieithmexteectesseeteette 2 54  6 62 63   METHOD siei eea 2 54  6 64 69  SiGe SiGeC Simulation              ccscceceeceeeeseeeeseeeeeeeeeeees 3 95 96  Silicidation Model              cccccsscccccesssseeceecssseeeseeeessaeees 3 64 65  Solid  Solubility   essai ioneina aneneen B 7 8   See also Deactivation Threshold  SSUPREM aisnean eeaeee aren inai 2 30 41  3 1 96   Calibrating ATHENA for a Typical Bipolar   Process FIOW  moscoc aeaaea ai aeaea 2 48 53   Changing the Method Statement During   the Process FIOW          ccccceeeseseseeeesssssesenaeaeeeeeeeeeeess 2 31 32   Choosing an Appropriate Model             sesceeeeeeeeeenees 2 30 31   Modelling the Correct Substrate Depth            eeeeeeeees 2 32 38   Multiple Models            eeecceceseeeeeeseeeeseneeseeeeeeeeenenesenenesees 2 30   Process SLEDS set ieceaazepskeactcndeacevssanscsnadstgcssnssseaisatveresetse 2 30   Rapid Thermal Anneals  RTA               cseseeeeesseeeeneeeneetaes 2 38   SiGe Process Simulation              cccccecsseeeeseeeeeeeteeeees 2 54 55  Standard Examples             ccccccccsseecesseeeeeeeeeeeseeeessneeesseees 2 2 4  Statistical Sampling   rare event trajectory splitting technique             seeeeeeeeees 3 85   FANG OVOMS 2 222 228 502 255 beves cadet cc Sen caes eecetedecdes etesekicdetadechs 3 85   resta ss oso Soe xen tans celrtater geveec dh E EE cadet 3 85   trajectory splitting w2   023 sece eves eet ees 3 85  Std tables  4    2
243. a tee enw reed teres 2 43  2 5 3  Tuning Implantation Parameters  7s     02ecee yi ay Way eet ee laws eed aes eg bes 2 45  254   TUNING DIMUSION Parameters  10sec etna den crore ree aioe ted pens erehenss Hee Ren Pee Beds 2 46  2 5 5  Related Issues on using the Device Simulator ATLAS for MOS Process Tuning                 05 2 46  2 6  Calibrating ATHENA for a Typical Bipolar Process FIOW           ccseeee eee eee eee ee een eee 2 48  2 6 1  Tuning Base and Collector Currents     All Regions            0c ana 2 49  2 6 2  Tuning the Base Current  All Regions    oceans cha tied Doon ie ed eae we ay 2 49  2 6 3  Tuning the Collector Current   All RegionS              ccc cece eee nents 2 50  2 6 4  The Base Current Profile     Medium Injection             0 0    cece eect eens 2 51  2 6 5  The Base Current Profile     Low Injection             0 0 c cece eee eee eee eee eens 2 52  2 7  Using ATHENA for Simulating SiGe Process          000s cece cece e eee eee eee eee eens 2 54  ZF ASI METHOD St  tement  sacs i r riria nae le le  cede once nade E EEE RETE ete ath ee ey cack 2 54  2 7 2  MATERIAL Statement ienien ee eds bed be eee eked bee ee eh E eds vas ees 2 54       Silvaco v    ATHENA User s Manual       Deb DEPOSIT SIAlOMENE E EO E E ost oly ahaa he alates E ha AT otal a 2 54   2 7A DIFFUDE  Statement   2  aoe alt Late eae ety eed tee aces A A Goee bee G twee ee 2 55  2 8  Using Advanced Features of ATHENA           00  cece e eee e eee e eee eee nn eens 2 56  2 8 1  Struc
244. abilities such as CD extraction  for generating SMILE plots  This section of the tutorial describes ATHENA OPTOLITH input output  and the following basic operations for creating a typical input file for optical lithography    e Creating an input mask using MASKVIEWS or the LAYOUT command   e Designing custom or standard illumination systems   e Projection Fourier plane filtering   e Imaging controls   e Properties of materials   e Structure exposure  post exposure bake  and development    e CD extraction  SMILE plots  and looping procedures    This section of the tutorial assumes that you are familiar with the general operation of ATHENA  This  includes familiarity with the command language used to generate structures  as well as a general  knowledge of the use of the VWF INTERACTIVE TOOLS  Specific features that refer particularly to  OPTOLITH will be explained here     2 9 2  Creating A Mask    A mask can be created using the MASKVIEWS tool supported by the VWF INTERACTIVE TOOLS  or by using  the LAYOUT command  MAsKVIEWS facilitates the creation of complicated masks and can import  different mask data formats  such as the GDS2 stream format  In the case of simple masks containing  one or two features  it may be simpler to use the LAYOUT command     MaskViews    Once you select MaskViews from the Tools MaskViews menu  press the Start MaskViews button  and the MASKVIEWS window will appear  Then  press the Properties    button and the MAsKVIEWS  Properties popup will appear  
245. actions are added     A    2 14  v   O S yO  V   P     ie P   7 3 117  k3 ky  ky     Therefore  the VC model consists of Equations 3 113 and 3 118   OV     m    ot         GRycim     GRyem  1 3 118    According to the following reactions  Equations 3 115 and 3 117  there are two kinds of summands in  the generation recombination part     GRycm    K  VV     m       1   ANTA Ve GRycm  n   k y     k  y  3 119    m m m 1  m 1    The equations for vacanies and intersititials will also contain the additional terms from  Equation 3 119        Silvaco 3 33    ATHENA User s Manual       3 2 5  Electrical Deactivation and Clustering Models  DDC     At high doses of dopant  the electrically active concentration may be less than corresponding chemical  concentration  The impurity atom becomes activated inside semiconductor only if it is incorporated  into a substitutional lattice site  In this case  the activated atom will contribute with a carrier to either  the valence band  an acceptor impurity   or the conduction band  a donor impurity   It has been  observed that  even below solid solubility  a significant dopant concentration can stay inactive  This  effect can be explained by the formation of immobile dopant defect clusters  which is described by the  DDC model  This is the third part of the PLS model  The model strongly depends on the nature of the  dopant and therefore is presented separately for each type of dopant below  To activate the DDC  model  add the DDC parameter to the
246. activation energy  D 0 and D E  can be set in the INTERSTITIAL  statement     The bulk recombination rate  Rg  is a simple reaction between vacancies and interstitials that    assumes that any interstitial will recombine with any vacancy  regardless of their charged states  This  assumption may overestimate the recombination rate  The equation is expressed as     Ry   KCC  Cr Cy  3 27       Silvaco 3 9    ATHENA User s Manual       where K  is the bulk combination coefficient and specified as     3 28    Ke KR  Oexp  SE        kT       where the parameters KR 0 and KR E are user definable in the INTERSTITIAL statement        The interstitial trap rate  Ry  model was first introduced by Griffin  12  to explain some of the wide    variety of diffusion coefficients extracted from different experimental conditions  The Trap Equation   which describes the evolution of the empty trap population in time  is        Tur    K7 CerC     E cr  Cr  Cer  3 29    1  e       R           where   e Cris the total trap concentration   e Kris the trap capture rate   e Crris the empty trap concentration     e Cyis the interstitial concentration   e C  isthe equilibrium interstitial concentration     e e is the equilibrium empty trap to total trap ratio  ewe ET    Cr     Both Kr and e  are Arrhenius expressions that can be set in the TRAP statement with the total trap  concentration  Ry with the parameters shown in Table 3 4                          Table 3 4  Parameters for interstitial traps  En
247. addition to a distribution of point  defects and is usable in a subsequent RTA diffusion step  Damage is specified as a profile scaled to an  implanted profile  Independent vertical and lateral control of the scaled damage is definable     CNET Diffusion Models   A new series of models from CNET under the guidance of Dr  Daniel  Mathiot have been implanted and calibrated to better describe high dose effects during diffusion  The  series of five extra models include  Impurity Defect pairing statistics  static clustering  percolation   correlated interstitial  amp  vacancy mediated impurity diffusivities  bimolecular recombination of defects  through impurity states     Temperature Dependent Fractional Interstitialcy   The parameters for fractional interstitialcy   Fi have been extended to include temperature dependence   Fi 0 and Fi E   If Fi is stated it will remain  a fixed value        Silvaco D 11    ATHENA User s Manual       Indium Added as New Dopant Species   The Indium dopant species has been included as it has  shown promise as a good shallow junction forming alternative to Boron and BF2 implanted species   Indium may further be passed though DEvEDIT and into ATLAS as part of the active net dopant  calculation     Gridding Capabilities    Power Device Diffusion Model   A new model for power device diffusion has been added  This  model will run around 4 times faster than the standard fermi model in SSUPREM4  enabling Athena to  simulate larger power device structures 
248. aims to provide you with a set of rules outlined  indicating the correct model that can be  used most of the time without you having a detailed knowledge of the physics involved  The usual  rules of model selection apply here  The more complicated the model  the greater the simulation time   There is always a compromise between simulation accuracy and simulation time  The following  sections describe when to use the hierarchy of models so that the most complicated models are only  used when you make a significant difference to the result     2 4 3  Choosing an Appropriate Model Using the Method Statement    The hierarchy of diffusion and damage models available is broadly related to the maximum level of  damage already in the semiconductor or the maximum level of damage that the next process step is  likely to introduce at any particular time during the process flow  The level of damage in the  semiconductor at any one time is not a static quantity but will depend on when and how much damage  was induced by a process step and how much annealing has occurred in subsequent thermal steps  The  range of models available to you can account for all of the above effects and allows accurate simulation  of dopant diffusion if appropriate models have been chosen     The choice of model or combination of models for any of the process steps described above is defined in  the METHOD statement  The METHOD statement serves a number of functions but in the context of  defining damage models 
249. ain specifies the type of file  An intensity file initialized in this fashion is  useful only for exposures that use the vertical propagation model     N PUPIL also affects the accuracy of the aerial image calculation  A higher N PUPIL value increases  the number of source points by a factor  2 N PUPIL 1  squared  and increases the accuracy and the  computation time     Note  The Image Window and the Computational Window are not linked  The computational window is automatically  adjusted to include all mask features  unless otherwise specified in the IMAGE command  This means that the entire mask will  be used in the image calculation  You can use the Image Window to calculate a part of the entire image to increase the  simulation speed  You can override the selected image in the IMAGE command by specifying new window coordinates              Silvaco 2 81    ATHENA User s Manual          Aerial image intensity distributions can be added together by specifying MULT   IMAGE on repetitions of  the IMAGE command  You can add any number of images together  The first IMAGE statement  shouldn   t contain the boolean parameter  MULT   IMAGE  because the preceding aerial images are erased  from memory  You can weigh of the aerial images by using the INTENSITY parameter on the  ILLUMINATION command                 ONE DIM is a new parameter that has been added to the IMAGE command  It allows you to calculate  one dimensional aerial images  This is used primarily for increasing speed in
250. al       2 8 3  MaskViews Interface    This section describes an alternative to the manual specification of grid and etch steps described in  Section 2 3     Creating a Device Structure Using ATHENA        Defining Initial Rectangular Grid Using MaskViews    An initial rectangular grid can also be defined by using Silvaco   s IC layout editor  MASKVIEWS   MASKVIEWS is designed specifically for interfacing IC layout information with process and device  simulators  For more detailed information about MASKVIEWS and its interface with DECKBUILD  see the  VWF INTERACTIVE TOOLS USER   S MANUAL  VOL  I  This section gives several practical suggestions on how to  prepare a good initial grid for ATHENA     With MASKVIEWS  you can omit ATHENA mesh definition statements because you can include the  gridding information in the layout file  When using MAsKVIEws to provide line information  DECKBUILD  will comment out existing line commands when it loads the MASKVIEws information     Load the example  34 11 anex11 in  from the MaskViews ATHENA section of the Deckbuild  Examples Window  See Figure 2 2   Then  select MaskViews   Starting MaskViews from the Tools  menu of DECKBUILD to open MASKVIEWS Layout Files Popup  Figure 2 47      Mask  Views Layout Files    Directory fexport main mishat athman   Filter   lay    myvanexo 1  lay    Co       hd       Filename mvyanexo1 lay    Start MaskViews       Figure 2 47  MaskViews Layout Files Popup    Choose the anex11 1lay layout file from the scro
251. al is elevated  but growth rate and oxide  shape are not affected  In all analytical models  the initial silicon surface must be planar     The ERFG model simulates the bird   s beak oxide shape under nitride masks of different thicknesses   40   The ERFG model consists of two models  ERF1 and ERF2  The ERF1 model describes the oxide  growth under a thin nitride layer  where the stress from the nitride mask layer is negligible  ERF2  model describes the oxide growth when nitride layer thicknesses are large enough to cause stress in  the oxide  which can result in the oxide layer being pinched  When ERFG is specified  either the ERF1  or ERF2 model will be automatically selected based on the structure under consideration  Both models  are based on the error function shape of the oxide silicon and oxide ambient or oxide nitride interfaces        3 58 Silvaco    SSUPREM4 Models       Z   Aerfc  By C  D 3 171    The A  B  C  and D parameters are complex functions of several geometric parameters   e initial thickness of oxide 1   and nitride lp   e current thickness E   of oxide given by the Deal Grove Model  Equation 3 132    e the length of lateral oxidation under the nitride layer Lpp   e and the lifting of the mask during oxidation H        These functions are specified in the OXIDE statement  All defaults are taken from  40      3 3 8  Recommendations for Successful Oxidation Simulations    Achieving successful oxidation simulations can be a frustrating task for a novice user
252. al to which the parameters apply     SILICON   POLYSILICO   TUNGSTEN   TITANIUM   PLATINUM   COBALT   WSIKX    TISIX   PTSIX    COSIX and  MATERIAL specify the second material to which parameters apply              MTTYPE specifies the type  metal or silicide  of the user defined MATERIAL           IMTTYPE specifies the type  metal or silicide  of the user defined  MATERIAL                          ALPHA specifies the volume expansion ratio between MATERIAL and  MATERIAL     Examples    The following example specifies the volume expansion between user defined material TiSi2 and  standard material titanium              SILICIDE MATERIAL TISI2 MITYPE SILICIDE  MATERIAL TITANIUM ALPHA 0  4                         6 102 Silvaco    SOURCE       6 59  SOURCE    SOURCE executes statements from the specified file     Syntax       SOURCE        lt filename gt   Description    SOURCE reads statements from an input file  Statements are read from the file until an end of file  marker is found  SOURCE is especially useful for executing a large group of statements  SOURCE places  the named file in the current input stream  SOURCE statements can be nested up to the limit of open  file descriptors  system dependent            Examples    The following statement causes the contents of a file named test  in to be included into the input  stream        SOURCE TEST IN             Note  To support the use of this function when running under the VWF AUTOMATION TOOLS  place the file to be sourced i
253. alculated by OPTOLITH to a file called  test  str        STRUCTURE OUTFILE TEST STR INTENSITY MASK             The following statement mirrors the structure about its left boundary                 STRUCTURE MIRROR LEFT          Silvaco 6 107    STRUCTURE ATHENA User s Manual          Note  The STRUCTURE command will only save all mesh and solution information  It will not save any defined model or  machine methods  If you exit a simulator the middle of an input file  you may need to manually parse the preceding METHOD  and IMPURITY commands to reinitialize specified parameters  This function is handled automatically when running under  the VWF AUTOMATION TOOLS                       For more examples  see INITIALIZE           6 108 Silvaco    SYSTEM       6 64  SYSTEM    SYSTEM allows execution of any UNIX C shell command within an input file          Note  The SYSTEM statement is executed by DECKBUILD and is fully documented in the VWF INTERACTIVE TOOLS    USER   S MANUAL  VOL  I     Note  The SYSTEM command must be enabled using an option on the DECKBUILD Main Control menu under       Category    Options     Examples       The following command will remove all files named test   str before a DIFFUS   the DUMP parameter is used     system  rm  rf test  str  DIFFUSE      DUMP 1 DUMP PREF test             The SYSTEM command and UNIX commands are case sensitive     E statement where    UNIX commands can be concatenated on a single line using the semicolon     operator  For examp
254. ald ripening phenomena     e The third step characterized by the supersaturation collapse is explained by the entire dissolution  of the IC population  due to the recombination at the surface     It is clear that the CDD model alone  dashed lines in Figure 3 5  cannot reproduce these three steps of  the transient enhanced diffusion  since the curves are monotonically decreasing        Silvaco 3 39    ATHENA User s Manual       Pelaz Experiment    In this experiment  a boron marker is deposited at a depth of 0 15 um  To observe the boron diffusion   Pelaz et al  have performed a silicon implant to generate a high interstitial concentration at the  surface  Unlike the Cowern experiment  the boron concentration is high enough to allow the formation  of BICs  Thus  this experiment exhibits a particular effects of in the boron diffusion  an immobilization  and disactivation of the dopant at high concentrations even under the solid solubility limit     Figure 3 6 demonstrates simulations of this particular experiment  using various parts of the PLS  model     Tony Prot V28 14 A  lt 2 gt   File     vew  Plot     Tools    Prints  Properties    Help        Pelaz s experiment  As grown boron   Silicon implant   furnace annealing       As grown  Annealed at 800  C 35min  Simulation As grown  Simulation with full PLS model  east Total Boron     Active Boron  Simulation with clasical   IC model  Simulation with classical model    a      2  e  Q       Z     g   e   O       4 4       4 4  0
255. ames of  the Silvaco products        ATHENA and ATLAS              Silvaco    Table of Contents       Chapter 1  INTOGUCUON ai tvs wie deanna Cee ee eae ewe dee beens 1 1  tA  Athena  Ov  rview isise i aee a eeewed tin as ba ease nl eee Seed eet 1 1  Tete Wings PHISIMANUAlt soiin eS capoeira  rte ec hacer treed ere lait dat tate a AA tol 1 1  dNe2s R  e olere Eeli o  olo  a reee en ee eh Se fa ate Oe ata et oi Oa eed aul ale aC gh 1 1  1 2  Athena Features and Capabilities 0 0 0 0    cece cece e eee eens 1 2  1 2 1  Using ATHENA With Other SILVACO Software    0 0 0    c cece eee eee eee 1 3  1 2 2  The Value Of Physically Based Simulation               0 00 cece cece ened 1 4   Chapter 2  Tutoriales cud oct ce itch Aes hat a aa weaned ee E E a E ees 2 1  2 1  Getting Started  nc seei iener ea teats we AADO E ote DIDATE E ceases ARA EBA spene s 2 1  2 1 1  Running ATHENA Under DeckBuild    aaaea 2 1  2 1 2  Loading And Running ATHENA Standard Examples              00  e cece eee eee eee es 2 2  2 2  Op  ration Modes  r a lak sees alan ee Mates sate theteate a nets Wee ciate estates 2 5  2 2 1  Interactive Mode With DeckBuild                00    e cece eee eens 2 5  2 2 2  Batch Mode With Deckbuild sce Sct ah canoes Kinks Perl Sas oie bute 8 De Sere hee eet 2 5  2 2 3  No Windows Batch Mode With Deckbuild       0 0    00  cece eee eee eens 2 5  2 2 4  Running ATHENA inside DeckBulld 20 3305 o00is serad erie bia beets Denia Peed eee dag ddeed 2 6  2 3  Creating a Device Structu
256. ameter MAX DAMAGE on the IMPLANT or MATERIAL statements also  controls the rate at which the implanted material will amorphize     e The silicide model has been enhanced to improve volume conservation during silicide calculations   Parameters DSV 0 and DSV E have been added to the SILICIDE statement to control the dissolu   tion of a contributing material during the silicide calculation    e Improvements to the TWO DIM model and cylindrical coordinates to address bug fixes and model  extensions have been included        Silvaco D 21    ATHENA User s Manual       D 14 3  ELITE Capabilities    Reflow capabilities that allow spin on glass modeling with a physically based calculation that simul   taneously calculates impurity diffusion are now included  Reflow capability is now available with  ELITE for individual materials by specifying the REFLOW parameter on the MATERIAL state   ment  Specifying the REFLOW parameter on the DIFFUSION statement invokes the reflow model   The VISCOUS model should be selected on the METHOD statement prior to performing reflow  The  parameter GAMMA REFLOW  lt n gt  has been added to the MATERIAL statement to specify surface  tension sigma for the reflow calculation  When used in conjunction with either SSUPREM4 or  FLASH  the reflow capability allows simultaneous calculation of material flow and impurity diffu   sion     Monte Carlo deposit capabilities are now available as an optional functionality  These allow physi   cally based calculations tha
257. and C20  are described in Table 6 3  Coefficients for fifth  seventh  and ninth order aberrations must be entered  in separate ABERRATION commands for each order  Each of these parameters represents a particular  aberration coefficient depending on the order specified by parameters FIFTH  SEVENTH  or NINTH                                      Table 6 3  Aberration Coefficients  Parameter Fifth Seventh Ninth  C1 4C20 6C20 8C20  C2 2c40 4c40 6C40  C3 0C60 2C60 4c60  C4 5c11 0c80 2C80                         Silvaco 6 9    ABERRATION ATHENA User s Manual                                                                Table 6 3  Aberration Coefficients  Parameter Fifth Seventh Ninth  C5 3C31 7C11 0C100  C6 1C51 5C31 9C11  C7 4C22 351 7C31  C8 2C42 1C71 5c51  c9 3033 6C22 3c71  C10 4C42 1C91  cii 2C62 8C22  C12 533 6C42  C13 3C53 4C62  C14 4c44 2C82  C15 7033  C16 5C53  C17 3C73  C18 6C44  c19 4c64  C20 555                      Examples    If high order aberrations are to be studied  they must be entered on a separate command line for each  order        ABERRATION X FIELD  5 SPHERICAL  25  ABERRATION FIFTH C1  25 C2  5  ABERRATION SEVENTH Cl  3 C4  4                         E                                                       For more examples  see IMAGE  ILLUMINATION  PROJECTION  ILLUM FILTER  PUPIL FILTE  LAYOUT     z   w          6 10 Silvaco    ADAPT MESH       6 4  ADAPT MESH    ADAPT MESH enables the adaptive meshing algorithm        Syntax  ADAPT  MESH   SMOOTH   SMTH COU
258. and Image planes  are totally independent  There is no mesh in the object or reticle plane           source condensor reticle projection aperture projection image plane  lens stop lens    Figure 5 2  The Generated Optical System    5 3 1  Discretization Errors    The size of the window in the reticle plane is determined by the number of mesh points in the projector  pupil  the numerical aperture  and by the chosen wavelength     CW   NP  lambda NA 5 23    where     e CW is acomputational or sampling window  mask or image cell  in the object or reticle plane   e NP is the number of mesh points in the projector pupil    e NA is the numerical aperture of the stepper    e lambda is the chosen wavelength     For an i line stepper with NA   0 54  the size of the sampling window is the square whose side length  is equal to 6 8 um  10   0 365 0 54   No mask feature should exceed this dimension     You can increase the size of the sampling window for this particular stepper to any size by increasing  the number of mesh points in the projector pupil  This will be done automatically to accommodate the  mask and image windows that were specified     Mask features cannot be placed outside of the sampling window  As mentioned earlier  the image mesh  is totally independent of the mesh in the Fourier plane  This allows you to arbitrarily specify the  number and distance of image points     5 3 2  Mesh    The size of the computational window is determined by Equation 5 23  and the position o
259. and anneals     2 10 2  Interface Mesh Control    The Interface Mesh Control is used to control the mesh in the vicinity of a material interface  This  function allows you to add grid lines  for example  to run along under the gate of MOSFET at some  distance from the Si Si02 interface  The Interface Mesh Control is often useful for adding mesh as  required by highly mesh dependent mobility models during a following device simulation  It is also  useful to be able to add mesh for better segregation modeling  The ADAPT ADD I LINE n command  controls the addition of a new mesh line  Two materials are specified as parameters to the command  defining an interface or a set of interfaces  The mesh line is added to MATERIAL1 as follows        ADAPT MESH ADD 1I LINE 0 001 MATERIAL1   MATERIAL2                For example  in the case of adding an additional mesh line to the SILICON in the channel region of a  MOSFET     ADAPT MESH ADD I LINE 0 001 SILICON   OXIDE                The structural transition from 1D to 2D to create a base mesh is controlled by the BASE PAR  parameters  Figure 2 68 indicates the flow of events towards the formation of a base mesh and beyond  in the case of MOSFET device        Silvaco 2 89    ATHENA User s Manual       Commands Action on Structure           Method    ID Adaptive  Meshing  Simulation              Define Mesh Rules  for ID to 2D  Transition        Switch from ID    to 2D Simulation    Adapt Par Modify 2D  Adaptive Meshing    Criteria  Simulat
260. ant  The implant creates some interstitials but also  creates  311  defect clusters  These clusters decay with time releasing point defects over an extended  period of time  This effect is particularly apparent at low temperatures     Clearly then a key parameter for tuning RTA effects is the time constant for the dissolution of  311   clusters to interstitials  This is controlled by the syntax     CLUSTER SILICON TAU 311 0  lt val gt  TAU 311 E  lt val gt           Measured data  128  shows that the enhanced diffusivity due to point defects extends over minutes at  800C  Figure C 9 shows ATHENA results matched to the measured data in Figure C 10 of  128   In this  case the value of TAU 311 0 is adjusted to show lower diffusion in the first 15 seconds than the  FULL CPL model predicts  For comparison  a lower value of TAU 311 0 is used in Figure C 10  It is  clear that this does not match the data in  128  as a significant part of the complete diffusion is in the  first 15 seconds        C 12 Silvaco    Hints and Tips                        File    View    Ploty  Tools    Print    Properties    Help       ATHENA  RTA SIMULATION MATCHED TO EXPERIMENTAL DATA IN  3   20      F   lt        As Implanted         RTA15 sec   RTA1 min      RTAS min    furnace anneal  60 min              Phos Cone   cm 3                    SILVACO International    Figure C 9  RTA of a 5 0e13 phosphorus implant matched to experimental data in  128                  File    View v  Ploty  Tools    Print 
261. ant  the units are cm  sec   and D E is the activation energy  the units are eV      CSTAR O and CSTAR E specify of the total equilibrium concentration of interstitials  or vacancies  in    intrinsically doped conditions  CSTAR O is the pre exponential constant  the units are cm   and  CSTAR E is the activation energy  the units are eV      NEU 0  NEU E  NEG 0  NEG E  DNEG 0  DNEG E  POS 0  POS E  DPOS 0 and DPOS E specify  the relative concentration of interstitials  or vacancies  in the various charge states  neutral  negative   double negative  positive  double positive  under intrinsic doping conditions  All    0 parameters are  unitless  All    E parameters are in eV              6 54 Silvaco    INTERSTITIAL and VACANCY       Bulk Defect Recombination Parameters    KR 0 and KR E specify the interstitial  or vacancy  bulk recombination rate  KR O is the pre        exponential constant  the units are cmsec     and KR  E is the activation energy in eV     IVFACTOR and ITFACTOR specify I V Bimolecular recombination ratios in HIGH CONC model   These parameters are valid only for the INTERSTITIAL statement                 KTRAP O and KTRAP E specify the interstitial trap reaction rate  KTRAP   0O is the pre exponential  constant  the units are cm   sec     and KTRAP   E is the activation energy in eV           Note  At present  it is very difficult to extract exact values for these parameters  The default values assume the trap reaction is  limited by the interstitial concentr
262. anual       Parameters Related to Numerics of Diffusion Oxidation    IMPURITY specifies impurity for which one or several bound  tolerance  parameters will be applied  during diffusion oxidation simulation  see Section 6 2 10     Standard Impurities    for the list of  impurities      INTERST  VACANCY  OXIDANT  VELOCITY  TRAPS  PSI and PAC specifies type of solution for  which one or several bound  tolerance  parameters will be applied during diffusion oxidation  simulation     REL ERR indicates the precision with which the impurity solution must be solved  In general  the  actual error will be less than half of the indicated error  The defaults are 0 01 for all impurities except  the potential  which is solved to 0 001  If this parameter is used an impurity should also be specified     ABS ERR specifies the error tolerance absolute value  For dopants  the absolute error defaults to  1 0x10    For defects  the absolute error defaults to 1 0x10    For the potential  the error defaults to  1 0x10  6  If this parameter is used  an impurity should also be specified     FE RELERR and FE ABSERR specifies the relative error and absolute errors for the FERMI model   TD RELERR and TD ABSERR specifies the relative and absolute errors for the TWO DIM model   ST RELERR and ST ABSERR specifies the relative and absolute errors for the STEADY model   FU RELERR and FU ABSERR specifies the relative and absolute errors for the FULL  CPL model     MIN FILL and MIN FRE Q specify a minimum fill  
263. any implant  depo  or profile statement  Now  only newly added  impurities are activated completely     D 10  ATHENA Version 4 0 0 R Release Notes  D 10 1  SSUPREM4    Diffusion Simulation Features    Physical RTA Model   A new TED model including the dynamic transient release of interstitial point  defects has been added to SSUPREM4   lt 311 gt   Clusters release Interstitials over time with a user  defined time constant  This model was derived from Dr  Peter Griffin work at Stanford     Dislocation Loop based point defect sink model   A dislocation loop based interstitial sink model  is now included for high dose RTA situation and may be used in conjunction with the  lt 311 gt  Cluster  model  This model was derived from the work of Dr  Peter Griffin at Stanford University     Point Defect   Dopant Pair Recombination Capture Cross Section Control   To account for  high concentration effects extra terms have been added to the fully coupled diffusion model allowing  for higher order dopant point defect dopant pair recombination  Recombination may be controlled  independently both in the bulk and as an extended surface recombination velocity  This model was  derived from the work of Dr Peter Griffin at Stanford University     Extended Defects   Extended defects may now be in introduced during Ion Implantation  Both   lt 311 gt    Clusters and Dislocation Loops may be introduced during ion implantation along with an  overlying amorphous region  This damage may be introduced in 
264. araea arera ea aea a e RAE EEA AREE aae 2 7 D  FUTET NAITO MAAAR NE E EAE TA 2 4  2 7  6 5  athenamod 97 AAEE EET EET 2 6 Daage Amorphization Models eee ee ee gK  25 See also Implant Damage  athenares  a e dann in ti ane ppc  ASONO fescetatstciy ne cevecsttn de bias ela tee teeooeeee tte 3 35 36  B BONN sete aaa io Geet 3 33 34  Bank Weiser Error Estimator           cccccccccccccccecececcececcceceueuens 2 88 Phosphorus EPAPER E T E PRE E A T T setae 3 35  Basic Diffusion and Oxidation Models           cccccccccccececeececceeeee 2 40 Deactivation ThreShold            eeeseeessseeesseeessneeeeeneeeeeaes 3 18 19  Deal Grove Model              scccescesseeeeeeeeseeseaeeeeeeteaeesseeeeaeeeaes 3 59  Silvaco Index 1    ATHENA User s Manual                DeckBuild iv  ntact Yee ieee het iis 2 1 2  6 100  6 109 Etch  Mode Sareren eae eaaa neari aiaiai 3 92  4 12 19  Batch Modari a a eterna eos 2 5 ISOMOPIC i m unr aa Teh E 4 12  Interactive Mode           cceccceseceeeeceeeesceeesseeeneseeeeeeeneneeeenens 2 5 Linear viet ie a ee al eit 4 18  No Windows Batch Mode              ssceseseeeessereeeeneeeeeneeees 2 5 6 Monte  Carosse Seaee tasted n aiana iedots aeons 4 14 19  Running ATHENA inside s an 2 6 Plasmatvesisoieth vindictive AR eet od 4 14   defect saiit T n a e act Ra Rage 3 1 PIE  sssesseesseesteeseesssesneessesseeesecsnecsseeseesnsesseenscesaeanaes 4 12 13   Defect Diffusion Exposure  Modulo 22  avse unveil ei ayavesaned  5 9 11  Time Step  Control  oi  setensiceciene cet siet
265. arameters for the DEVELOP command are  TIME  in seconds   STEPS  and  SUBSTEPS                                            Silvaco 2 83    ATHENA User s Manual             TIME is the total development time  STEPS specifies the number of times the structure has to be  regridded  SUBSTEPS is the total number of times the development line has to be moved  Each substep  is performed for a time increment equal to TIME   STEPS SUBSTEPS   After each regridding of the  structure  you can dump out a standard structure file to show the progress of the development  To do  this  specify the parameter DUMP 1  To name the structure file to be dumped  specify  DUMP  PREFIX  lt name gt  and the structure will be created in the local directory with the name   lt name gt    str  where    is the current development time                          Post Development Bake    A physically based reflow of the developed photoresist is available  Specify it by using the BAKE  command and the boolean parameter REFLOW along with TIME and TEMPERATURE                          2 9 8  CD Extraction  Smile Plots  And Looping Procedures    CDs are extracted from the structure using the function MAT1 MAT2 y   This gives the horizontal  intersection of material number 1 and material number 2 at the value y  To extract a CD from a profile   the following format is used      GAS  PHOTO 1 4    PHOTO GAS  1 4     This will give the CD at the horizontal line y 1 4           To generate swing curves  use the FOREACH and
266. are implemented in order to achieve  better compatibility with TSUPREM4 and TSUPREMS simulators     E 1  General Syntax Capabilities    e Added capability to specify that default values of some parameters correspond to those of  TSUPREM4  The modified keyfile athenakey tma with some modified default values is introduced   To run ATHENA with default parameters specified in athenakey tma file the syntax is     go athena simflags   tma   For example  TSUPREM4 defaults for TILT and ROTATION parameters in the IMPLANT statement  are 0    while ATHENA uses 7 and 30   respectively   e A plus character     can be used as a line continuation sign instead of standard backslash       e Boolean parameters can be set to false by preceding the parameter name with     or      character     e The  S  character can be used to specify the comment line  This should only be used at the begin   ning of the line  because the     character can be used for substitution of parameters defined by SET  or DEFINE statements of DECKBUILD     e The maximum length of parameter names has extended from 12 to 16 characters   some TSUPREM3  names are longer than 12 characters                     e The first character of a parameter name can be a numeral now     E 2  Execution Control Capabilities Provided by Deckbuild    The detailed description on these new functionalities will be published in the DECKBUILD manual   Here  we highlight only key features related to the compatibility issues     E 2 1  DEFINE State
267. ary during the RTA diffusion and therefore affects the total diffusion of  dopant into the single crystalline part of the emitter and the base width doping profile     The second process parameter affects dopant pile up at the poly silicon silicon boundary and therefore  the source doping concentration at the mono crystalline interface  Once again  this will affect the  overall doping profile of the emitter in the mono crystalline region of the device        2 52 Silvaco    Tutorial       The third process parameter affects the velocity of transport of dopant across the polysilicon silicon  boundary with similar effects to the parameters above     You can use these parameters to tailor the emitter doping profile in the mono crystalline silicon region  to match available measured data  usually in the form of SIMS or capacitance information  An  accurate profile of dopant in the poly silicon part of the emitter is not too important if measured data  concerning interfacial dopant concentrations is available  This is because the work function of the  poly emitter will be set in ATLAS by defining the poly emitter as an electrode  All you need to calculate  the correct work function at the poly silicon emitter is the interfacial doping concentration at the poly   silicon silicon interface on the poly side of the junction  See the    Poly emitter work function    Section on  page 2 51 for setting the correct work function for the poly emitter      Conclusions    By using a logical c
268. as solid interface  the surface of the silicon if exposed  and solid   solid interfaces have been strictly modelled within ATHENA  Effects such as dopant loss from exposed  silicon and dopant pile up at interfaces are simulated        Silvaco 3 4    ATHENA User s Manual       3 1 1  Mathematical Description    The mathematical definition of a diffusion model includes the following specifications for every  diffusing species present     e a Continuity Equation  often called a Diffusion Equation    e one or more flux terms   e aset of boundary and interregional interface conditions     In the case of impurity diffusion in semiconductors  we need a set of equations for each dopant present  and for each type of point defect if point defects are explicitly represented in the model  Since dopants  can only diffuse as participants in dopant defect pairs  the dopant continuity equation is actually a  continuity equation for defect dopant pairs     The formulation of the continuity equation have a number of built in assumptions     e Electronic processes take place on a time scale  which is much smaller than the time scale of all  other processes  adiabatic approximation     e The pairing reaction between dopants and defects is assumed to always be in equilibrium  This  may not be the case  especially at a low temperature  but would pose a much harder and more CPU  intensive numerical problem to solve     e Mobile dopants are electrically active and vice versa     Models that explicit
269. at dictates the mesh should be mirrored about the x axis     DEPTH STR and WIDTH STR specify the depth and width of the initial substrate structure  dimension for use with the Process Adaptive Meshing algorithm  Units are microns     Example Starting from a file       The following statement reads in a previously saved structure from the TEST  STR file        INITIALIZE INFILE TEST STR                Example Using an GaAs Substrate    The following statement creates GaAs substrate doped with Selenium concentration of 1x10  cem       INITIALIZE GAAS C SELENIUM 1E15                                                       For more examples  see BOUNDARY  LINE  REGION  STRUCTURE  and BASE   MESH        Silvaco 6 53    INTERSTITIAL and VACANCY ATHENA User s Manual       6 31  INTERSTITIAL and VACANCY    INTERSTITIAL specifies coefficients of interstitial diffusion  recombination  and generation        VACANCY specifies coefficients of vacancy diffusion  recombination  and generation        Note  These two statements are almost equivalent  Most parameters that exist in the INTERSTITIAL statement are also  on the VACANCY statement                                                                                                                       INTERSTITIAL   VACANCY  ATERIAL   D 0  lt n gt    D E  lt n gt    CSTAR 0  lt n gt    CSTAR E  lt n gt    NEU  0  lt n gt    NEU E  lt n gt    NEG 0  lt n gt    NEG E  lt n gt   DNEG 0  lt n gt    DNEG E  lt n gt    POS 0  lt n gt    POS E  l
270. ate as a function of the points that are above point i  For a flat  surface  K  A  S    1  Following the work of Warnock  these three factors are calculated using the    following set of equations     The shadow factor is the one for flat surfaces  But it is generally calculated basedon one or two points  that shadow point i and is given by the equations below     Az   E  encanto      Saran a      Azz  gt   0 0  so S   gt 1  Az  is obtained by integration over the surrounding topography     Light    F   Ag   Secon cara 4 36  z   12  cosh  GT FAC    left    In these equations  ij 4 and i  n   refer to the two points that can possibly shadow point i  The effect of  these shadow points depends on the two parameters LENGTH FAC and HEIGHT FAC as shown in the  equations  The variable z  is the vertical distance between the point i and the point ijoq i ignz  The          variable r  is the horizontal distance between the point i and the point izeft  iright     The acceleration factor  A   is given by the equations below  A  is calculated for the two points that    shadow point i  In this manner  multiple shadowing effects are taken into account through the term  Ai  left  Airight  This is the acceleration factor for the point s  that shadow  If point i shadows some other    point j in the system  it will increase A  by a similar factor  This increase is then passed on to Ajjeq     Aten   Auten   4 Bi d  148  4 37    ileft    Aivignt   Airigh   4i B  U 16  4 38    The constant Bijop 
271. ate gradient in the y direction  log Logarithm   log10 Logarithm base 10   mat1 mat2 Returns the y value of the interface between mat 1 and mat2 along a    vertical slice at the given location       mat1  mat2             Returns the x value of the interface between mat 1 and mat2 along a  horizontal slice at the given location                                  scales Scales the value given by the maximum value  sqrt Square root  xfn Takes y and z and finds a matching x  yfn Takes x and z and finds a matching y  afn Takes x and y and finds a matching z  Examples   PAR1  lt n gt     PA    PA       PA       R1 is a required numeric valued option     PARI   4 0   EXP   2 0    8 62E 5   1173 0               R1 is a required numeric valued option  assigned a real number expression    PAR2  lt c gt      R2 is an optional character variable              For further examples of expressions  see SET and EXTRACT in VWF INTERACTIVE TOOLS USER   S MANUAL   VOL  I        6 1 5  Command Line Parsing    ATHENA supports expressions on the command line  For example        DIFFUSE       TIM    E 10 60 TEMP 1000             Be careful when using parentheses as the precedence of arithmetic operators  as in programming  languages  is not guaranteed in all cases        Silvaco    6 3    ATHENA Statements List ATHENA User s Manual       6 2  ATHENA Statements List    This chapter contains a complete description  in alphabetical order  of every statement used by any of  the ATHENA products  The follo
272. ate teed sneaks LEO bas san A DAS D 26  D 20  Additional SSUPREM4 Changes             cccecee eee e eee eee eee eee ene eeeeeeeeeeaee D 27  D 20 1  Oxidation method defaults to CompreSS           00  c cece eee ene eens D 27  Appendix E  SUPREM4 and TSUPREM3 Compatibility FeatureS            ccceeeee eee eeeeees E 1  E T  General Syntax Capabilities sssic cic  pavatee tite te ete eee bee ewe Hed ee eet E 1  E 2  Execution Control Capabilities Provided by Deckbuild            0ce cee eee cece eee eee eee eens E 1  E 2 1  DEFINE Statement and Substitutions Capability    0 0    tenes E 1  E 2 2  IF ELSEIF ELSE IF END Capability         eu iecee   any heeta ee eid e le pheasant ed ey E 2  E 2 3  LOOP L END ASSIGN L  MODIFY Capability      nanana E 2  E 3  MESH Statement  iii ericysiicssiirriss nrd adu nerona ENEA AT EE EEEE EE E 3  E 4  Using MASK statement with the parameter IN FILE and XLINES for Automatic grid generation  in the horizontal direction     s  erisicsrirriserrererrs iorri Sa bee dks EON ea E 4  E 5  Using mask information with the EXPOSE MASK  lt maskname gt  statement             000eeeeeeeee E 5  E 6  Aliases and substitutions for some statements          00 cece eee eee e eee eee eens E 6  E 7  Changes in the INITIALIZE statement            00  cc cece eee e ete eee E 7  E 8  Changes in the DEPOSIT statement            00 ccc cece eee e eee eee eens E 7  E 9  Changes in the DIFFUSE statement            0 ccee cece cece eee eee eee eee eee eeeennenee E 8  E 10  
273. atements  are similar to those used for the ELITE deposition  RATE DEPO and DEPOSIT  and the ELITE etching   RATE ETCH and ETCH  The RATE POLISH statement sets up the parameters for a particular machine   while the POLISH statement executes the actual polishing step using the machine     4 6 1  Hard Polish Model    The Hard Polish Model  98  simulates the grinding down of the topography based on a rate calculated  as a function of the pattern factor Pf of the surface  The higher the pattern factor  the lower the  polishing rate  Use the following formula     R x  y    MAX HARD    1      Pf    MIN HARD   Pf 4 31                                        The hard polish model parameters are MAX HARD and MIN HARD  Pf  1 corresponds to a flat surface  Pf  is calculated from the topography by the formula     Pf   ETN a 4 32    max    where  x  y   are points on the polished material surface  y denotes both y  and y _1  Y max is the highest  point of the structure and AY is the rate effective height calculated by the previous rate multiplied by  the current time step value  For Figure 4 12  a pattern factor will be as follows     AX    AX   x    total    Pf   4 33  The rate for points at height Y    ay are equal to the R calculated in Equation 4 31  Points below Yax  have a rate cause the structure to polish to the y coordinate Ymax     AY  Therefore  the structure  becomes more planar as shown in Figure 4 13        Silvaco 4 21    ATHENA User s Manual                   Figure 4 12  I
274. aterials    e Universal tilt and rotation capability for both analytic and Monte Carlo  calculations        Oxidation e Compressible and viscous stress dependent models    e Separate rate coefficients for silicon and polysilicon materials   e HCL and pressure enhanced oxidation models    e Impurity concentration dependent effects     e Ability to simulate the oxidation of structures with deep trenches   undercuts  and ONO layers     e Accurate models for the simultaneous oxidation and lifting of  polysilicon regions        Silicidation e Models for titanium  tungsten  cobalt  and platinum silicides   e Experimentally verified growth rates     e Reactions and boundary motion on silicide metal and silicide silicon  interfaces     e Accurate material consumption model                 1 2 1  Using ATHENA With Other SILVACO Software    ATHENA is normally used in conjunction with the VWF INTERACTIVE TooLs  These tools include  DECKBUILD  TONYPLOT  DEVEDIT  MASKVIEWS and OPTIMIZER  DECKBUILD provides an interactive run time  environment  TONYPLOT supplies scientific visualization capabilities  DEVEDIT is an interactive tool for  structure and mesh specification and refinement  and MASKVIEWS is an IC Layout Editor  The  OPTIMIZER supports black box optimization across multiple simulators     For more information about VWF INTERACTIVE TOOLS  see the VWF INTERACTIVE TOOLS USER   S MANUAL  VOLUMES 1 and 2     ATHENA is also frequently used in conjunction with the ATLAS device simulator 
275. aterials  e g   an interstitial on a silicon oxide interface      Interface conditions    Between any two regions there must be some control on how any impurity species can exist in the  vicinity of the interface  For every such interface  you must specify a Concentration Jump Condition  and a Flux Jump Condition     The Concentration Jump Condition accounts for discontinuities in particle concentrations across  interfaces and encompasses particle transport across material interfaces due to different solid  solubility ratios of the impurity species in the two materials     The Flux Jump Condition enables the formulation of interface source and sink terms such as surface  recombination  particle injection  and particle pile up at a moving interface     For all species  no flux boundary conditions are employed on the sides and at the bottom of the  simulation structure  This is hardwired into the software  which means it is not user definable        3 4 Silvaco    SSUPREM4 Models       3 1 2  The Fermi Model    The Fermi Model assumes that point defect populations are in thermodynamical equilibrium and thus  need no direct representation  All effects of the point defects on dopant diffusion are built into the pair  diffusivities  The main advantage for using the Fermi Diffusion model is it will greatly improve the  simulation speed  since it does not directly represent point defects and only needs to simulate the  diffusion of dopants  Also  the Fermi Model usually results in a
276. ation     DAMALPHA specifies the interstitial recombination rate in the dislocation loop region  The units are    sec     Interface Defect Generation and Recombination Parameters              MATERIAL specify MATERIAL2 for setting generation and recombination parameters on the  boundary between two materials  see Section 6 2 9     Standard and User Defined Materials    for the list  of materials      TIME INJ  GROWTH INJ and RECOMB specify the type of reactions occurring at the specified  interface  The TIME INJ parameter means that a time dependent injection model should be chosen   The GROWTH  INJ parameter ties the injection to the interface growth velocity  The RECOMB parameter  indicates a finite surface recombination velocity    KSURFE 0  KSURF E  KRAT 0  KRAT E  KPOW 0 and KPOW E specify the interstitial  or vacancy   surface recombination rate  KSURF  0 is the pre exponential constant for surface recombination rate  under inert conditions  the units are cm sec   KSURF is corresponding activation energy in eV  KRAT  0  is the pre exponential constant for the growth rate dependent component of the surface recombination  rate  unitless   KRAT   E is the corresponding activation energy in eV  KPOW 0 is the pre exponential  constant of the power parameter in the surface recombination rate formula  unitless   and KPOW E is  the corresponding activation energy in eV     VMOLE  THETA 0  THETA E  GPOW 0 and GPOW E specify interstitial  or vacancy  generation  parameters of the
277. ation allows the user to see effects due to lines perpendicular and parallel to the current cross  section being studied but uses a two dimensional array of plane waves in the calculation  The two   dimensional mode requires much longer calculation time  The one dimensional calculation uses       D 22    Silvaco    ATHENA Version History       only lines which are perpendicular to the cross section  This calculation uses only a one dimensional  array of plane waves and is much faster  When a two dimensional mask is defined  only mask fea   tures that are on the same level as the desired cross section are included in the calculation     e The POSTBAKE statement has been replaced by the BAKE statement that performs either post   exposure bake or post development bake  TIME and TEMPERATURE parameters have been added  to be used instead of diffusion length  Associated photoactive component diffusivity parameters are  also included in the RATE DEVELOP statement  A new post development bake capability includes  photoresist flow  The REFLOW parameter on the BAKE statement invokes the material flow model     e The BAKE statement includes the DUMP and DUMP PREFIX parameters that allow movies of  bake processes to be created  Setting DUMP 1 and DUMP PRE test will create a sequence of Sil   vaco standard structure files that show the time evolution of the structure during the bake  The  files will be named test      str where the       indicates the time within the bake     e The librar
278. ation and to select the desired diffusion and oxidation model complexity  Appropriate  defaults for the numerical parameters are included in the athenamod file so that you only need to  specify the desired diffusion and oxidation model  The numerical methods used in ATHENA for the  solution of the diffusion equations are described in  7      Parameters Related to DIFFUSION models    FERMI  TWO DIM  STEADY  and FULL CPL specify the type of diffusion equations to be solved  with particular regard to the point defect models  see Chapter 3     SSUPREM4 Models     Section 3 1        Diffusion Models      The F        ERMI parameter specifies the defects are assumed to be a function of the    Fermi level only  The TWO DIM parameter specifies that a full time dependent transient simulation    should be performed  The s          EADY parameter specifies that the defects are assumed to be in a steady    state  The FULL CPL parameter specifies that full coupling between defects and dopants should be    included  The default is FI    TWO DI     alias for TWO DI        M  PD FUL  M              ERMI  PD FERMI  L is an alias for FULL CPL  PD FERMI  PD FULL is an alias for FULL CPL              is an alias       for FERMI    is an alias for FI                      ERM      PD TRANS is an alias for  I  PD  TRANS is an             Silvaco    METHOD       Note  Chapter 2     Tutorial    shows a complete description of the use of these diffusion models for typical applications     PLS  IC  VC 
279. ature is  used if the temperature isn   t specified in the ETCH or DEPOSIT statement  The final stresses from the  previous step is used as a initial condition for the subsequent step              If the temperature is changed between the end of one step and the start of another  the stress  calculation with corresponding temperature ramp is automatically inserted  If stresses are calculated  using the STRESS statement or during oxidation with VISCOUS model or during REFLOW simulation   then stresses previously calculated by the STRESS HIST model are ignored and new stresses are  computed                 Silvaco 3 97    ATHENA User s Manual       This page is intentionally left blank       3 98 Silvaco    Chapter 4   ELITE Models          4 1  Overview    The ELITE module of ATHENA allows the use of sophisticated models for deposition and etch processes   These processes are modeled by defining a machine and invoking the machine to perform either  deposit or etch  ELITE also includes a model for material reflow  ELITE can also be licensed with  modules for Monte Carlo deposition  Monte Carlo etching  and Chemical Mechanical Polishing  CMP      In ELITE  a number of default machines are defined so that specifying any process reasonably close to  the standard is especially simple  Process modifications or additions are easily implemented by  changing or adding individual machines without affecting the remainder of the simulator     For all models except Monte Carlo deposition a
280. atures of TONYPLOT for graphical display and analysis  consult the TONyPLOT    chapter of the VWF INTERACTIVE TOOLS USER   S MANUAL  VOL  I        6 110    Silvaco    TRAP       6 66  TRAP    TRAP sets the coefficients of interstitial traps   Syntax    TRAP       MATERIAL  ENABLE   TOTAL  lt n gt    FRAC 0  lt n gt    FRAC E  lt n gt                  Description    This statement allows you to specify values for coefficients of the interstitial traps  The statement  allows coefficients to be specified for each of the materials  ATHENA has default values only for silicon   Polysilicon parameters default to those for silicon     MATERIAL specifies the material for which the parameters apply  see Section 6 2 9     Standard and  User Defined Materials    for the list of materials      ENABLE indicates that traps should be enabled in the material specified   TOTAL specifies the total number of traps  in cm     The default for silicon is 5 0x101  cm  This value    is appropriate for Czochralski silicon material   FRAC 0 and FRAC E allows the specification of the equilibrium empty trap ratio   Examples    017    The following statement turns on interstitial traps and sets the total to 5 0x10      and the fraction to a    half     TRAP SILICON TOTAL 5 0E17 FRAC 0 0 5 FRAC E 0 0 ENABLE                      Note  The trap concentration depend upon the thermal history of the wafer  starting material  stress and temperature  This  history is not considered in the trap model in ATHENA    
281. ave been included into ATHENA  They are AlGaAs  InGaAs  SiGe  and InP   These materials are accessible via the INITIALIZE or DEPOSIT statement by specifying ALGAAS   INGAAS  SIGE  or INP  The fractional components of the elements can be entered via the parame   ter C FRAC on either the INITIALIZE or DEPOSIT statements  The DEPOSIT statement also  allows a linearly graded variation in the fractional components by use of C FRAC as the fractional  component of the first element  ie  for ALGAAS Al is the first component  at the bottom of the  deposit and C FINAL as the fractional component of the first element at the top of the deposit  The  fractional component of the second component  i e   for ALGAAS Ga is the second component  is 1    C FRAC and 1   C FINAL  These materials are also available on other statements such as  STRETCH  ETCH etc     Ten more user materials were added to make a total of 20 user definable materials     The parameters DONOR and ACCEPTOR have been added to the IMPURITY statement  This  allows an impurity to be specified as either donor or acceptor for a given material     Active impurities are now part of the output file as well as chemical impurities  Donors and accep   tors are calculated from the active impurity concentration  All impurity data can be entered via the  IMPURITY statement  The old statements BORON  ARSENIC  PHOSPHORUS  and ANTIMONY  can still be used as before  as they are aliased to the IMPURITY statement     Due to numerous additions
282. ave only regional and material information  Click on  the Plot menu button and the Display  2D Mesh  popup will appear  Select only the two left icons   Mesh and Edges and the Initial Triangular Grid  Figure 2 11  will appear in TonyPLoT        Silvaco 2 15    ATHENA User s Manual       TonyPlot   2 2 1      File vj  i View F C Plot 7    Tools 9    Print 7    Properties 7    Help 7   ATHENA  Initial Triangular Grid                                                          Microns                                                                                                             SILVACO International 1994 f     Figure 2 11  Initial Triangular Grid    The grid in ATHENA consists of points connected to form a number of triangles  Each point has one or  more nodes associated with it  A point within a material region has one node  while a point which  belongs to several regions has several nodes  A node represents the solution  e g   doping  concentration  in a particular material region at the point  For example  a given node may represent  solution values in silicon at a point with coordinates  0 0  0 0   an entirely different node may  represent solution values in oxide at the same point  0 0  0 0      So  the previous INIT statement creates the  lt 100 gt  silicon region of 1 0 um x 1 0 um size  which is  uniformly doped with boron concentration of 3e14 atom cm    This simulation structure is ready for any  process step  e g   implant  diffusion  Reactive Ion Etching   
283. avelength     2 9 7  Structure Exposure                Exposure  post exposure bake  and development each have separate statements  EXPOSE  BAKE  and  DEVELOP  respectively  In order to use these three statements  some initial requirements must be met   First  an intensity cross section or Fourier Spectrum data must be available  Second  you must create a  structure including photoresist using the techniques described in Section 2 3     Creating a Device  Structure Using ATHENA                       This intensity cross section can come from three different places  The first method is by running the  imaging module prior to exposure  This puts the intensity data array into memory  The second method  is by initializing with an intensity data array that has been stored in a standard structure file  see  Section 2 9 5     Imaging Control     using the following command        INITIALIZE INFILE   STR INTENSITY                The INTENSITY qualifier lets ATHENA know that this is an intensity file  as opposed to a standard  structure file  After this command is entered  the intensity data array will be placed in memory   Wavelength will be stored in this file and can be changed only by re running the imaging module        The third method of entering an intensity cross section is through a user data file  The file should  contain the wavelength  the number of data points  and the intensity and position of each point  The  first line of this file should contain the wavelength in mic
284. balt films on silicon   J  Appl  Phys   v 71  p  5892  1992     M A  Nicolet and S S  Lau  in VLSI Handbook  Ed  Norman G  Einspruch  Academic Press  p 430   1985     J  Lindhard  M  Scharff  and H E  Schiott     Range Concepts and Heavy Ion Ranges     Kgl  Dan  Vid   Selsk  Mat  fys  Medd   v  33  1963     R  Smith  Ed    Atomic and Ion Collisions in Solids and at Surfaces  Cambridge University Press   1997     D G  Ashworth  R  Oven and B  Mundin     Representation of Ion Implantation Profiles be Pearson  Frequency Distribution Curves     J  Phys  D  v  23  p  870  1990     A  F  Tasch     An Improved Approach to Accurately Model Shallow B and BF2 Implants in Silicon     J   Electrochem  Soc   v  136  p  810  1989     K B  Parab et al      Analysis of Ultra Shallow Doping Profiles Obtained by Low Energy Ion  Implantation     J  Vac  Sci  Technol   v  B14  p  260  1996     G A J  Amaratunga  K  Sabine  and A G R  Evans     The Modeling of Ion Implantation in a Three   Layer Structure Using the Method of Dose Matching     IEEE Trans  Electron  Dev  v  ED 32  p  1899   1985     A F  Burenkov  F F Komarov  and M M Temkin     Analytical Calculation of Ion Implantation through  Mask Windows     in Russian   Microelektronika  v  16  p  15  1987     A F  Burenkov  A G  Kurganov  and G G  Konoplyanik     Two Dimensional Local Ion Implantation  Distribution     in Russian   Povekhnost  Surface Sciences   v 8  p 52  1989     J  Lorenz  W  Kruger  and A  Barthel    Simulation of the La
285. be implemented in future    when more complete tables of lateral parameters will be generated using Monte Carlo simulations        Silvaco 3 75    ATHENA User s Manual       Specification of Implant Parameters in the Moments Statement    As mentioned previously  the analytical ion implantation simulations strongly depend on the input  parameters  moments   ATHENA provides several ways of implant parameter specification  They are   look up tables  user defined look up tables  and the MOMENTS statement        Two types of look up tables are currently provided with ATHENA  The files containing the tables are in  ASCII format and can be found in the  lt install gt  lib athena  lt  gt version gt  common implant tables  directory  The first type are standard tables  std tables file  containing parameters for most ion   material combinations used in ATHENA  These are longitudinal parameters for the single Pearson  distribution in the energy interval 10keV to 1MeV  The energy interval is extended to 1keV 8MeV for  B  P  and As in silicon  silicon oxide  polysilicon  and silicon nitride  These tables also include a limited  set of parameters for the dual Pearson function  only for B and BF2 in the energy interval 10 100keV   tilt angle 7    rotation angle 30    and native oxide as well as with simple interpolation of the dose ratio    parameter SR between different doses   Parameters for the FULL LAT model are provided only for B  implants in silicon  They are based on the spatial mom
286. bility limit might refer to  For  example  excess dopants could be participating in small clusters or larger precipitates  Deactivation  threshold would be a more proper designation for this limit and will be used throughout the rest of this    section  The notation  cy will be used for the deactivation threshold  Therefore  for all the models    described in this section  the following points are assumed for each dopant type     e Dopants in excess of the deactivation threshold are considered electrically inactive  i e   they do not  contribute to the carrier populations         3 18 Silvaco    SSUPREM4 Models       e Additionally  dopants in excess of the deactivation threshold are considered to be immobile  i e    they cannot diffuse      Electrical Activation Model    The Electrical Activation Model is used to calculate which level of dopant concentration the  deactivation occurs  For this purpose  two different Electrical Activation Models are used for all  diffusion models     e An AsV Clustering Model for arsenic or similar models for other impurities     e A Semi empirical Activation Model  based on Table B 14 in Appendix B     Default Coefficients      for all other dopants        These models can be activated in the IMPURITY statement by parameters CLUSTER ACT and  SOL SOLUB respectively  By default  the CLUSTER ACT model is used only for As in silicon and  polysilicon        The AsV Clustering Model used in SSUPREM4 is based on the simple reaction     As   Vo o AsV
287. cal difficulties may occur during simulation  For ramped thermal step  a synonym T START  can be used     T FINAL specifies the final temperature for ramped thermal steps  Synonym is T   STOP     T RATE specifies the ramp rate in   C minute for ramped thermal steps     Parameters to Define the Diffusion Ambient    DRYO2  WETO2  INERY and NITROGEN specify the type of ambient during the diffusion step   DRYO2 specifies that ambient is dry oxygen  WETO2 specifies that ambient is wet oxygen  NITROGEN  specifies that ambient is inert  INERT is a synonym for NI TROGEN                                   HCL PC specifies the percentage of HCl in the oxidant gas stream     PRESSURE specifies the partial pressure of the active species in atmospheres  Units are  atmospheres  The default is 1     F 02  F H2  F H20  F N2  and F HCL specifies the relative flow rate of the components of oxygen   hydrogen  water  nitrogen  and HC1 in the ambient  If these parameters are used  the DRYO2  WETO2   NITROGEN set or HCL PC should not be specified              Silvaco 6 25    DIFFUSE ATHENA User s Manual       C IMPURITIES specifies concentration of the impurities in the ambient gas   see Section 6 2 10      Standard Impurities    for the list of impurity names that can be used  e g  I BORON   Units are             atoms cm    You can define multiple impurity parameters for ambients with multiple impurities  You  can only use boron  phosphorus and arsenic if you specify the advanced diffusion model 
288. cally  deposits a thin native oxide layer on all exposed silicon  polysilicon  surfaces at the beginning of  oxidation steps  The INITIAL parameter in the OXIDE statement determines the layer   s thickness   which has a default value of 20 A        The two dimensional oxidation models in SSUPREM4 are based on the well known linear parabolic  theory of Deal and Grove  30   Numerical aspects of the model implementation can be found in  31    Silicon oxidation is modeled by considering the following three processes      1  Oxidant  e g   H20 or Og  is transported from the ambient gas into the SiO   layer at the gas SiO    interface      2  Oxidant is transported across the SiO  layer until reaching the Si SiO    interface    3  Oxidant  arriving at the Si SiO   interface  reacts with silicon to form a new layer of SiO       The transport of oxidant across the gas SiOg interface is given by     F    WC  C  ny 3 127    where    e his the gas phase mass transport coefficient    e C  is the equilibrium oxidant concentration in SiO      e Co is the oxidant concentration in SiO  at the gas SiO   interface    e ng is a unit vector normal to the gas SiO   interface pointing toward the silicon layer   The equilibrium oxidant concentration in SiO   is linearly related to the partial pressure of the oxidant   P  in the gas by Henry   s law     x    C  K P 3 128  where K is a constant     Diffusion of oxidant molecules in the SiO  is driven by a concentration gradient and is given by Fick  
289. can control the grid inside deposited layer  The grid distribution along normal direction is  controlled by a number of divisions  the user defined parameter  DIVISIONS  in a uniform vertical  grid  If non uniform vertical grid is used  then specify the DY and YDY parameters in the DEPOSIT  statement        DY specifies nominal spacing and YDY specifies the position where to apply the nominal spacing  The  spacings further from the nominal position YDY increase or decrease according to geometrical series   The coefficients of the geometrical series are calculated so that total number of the spacings will be  equal to the DIVISIONS parameter     When the conformal deposition algorithm fails to deposit next sublayer  which happens when  deposition occurs on the structure with narrow trenches or undercuts or both   the current spacing  divides into two and thinner layer is checked  This spacing division algorithm is applied recursively  because in these situations  the number of sublayers  divisions  actually deposited can be higher than  the number specified in DIVISIONS     3 6 3  Epitaxy Simulation       SSUPREM4 models high temperature deposition of single crystal silicon through the EPITAXY  statement  This statement combines deposit and diffusion steps and parameters  See Chapter 6      Statements     Section 6 18     EPITAXY    for more information        Silvaco 3 91    ATHENA User s Manual       3 7  Etching Models    Although etching is an integral process step in sili
290. caused by the built in electric field  due to the dopant gradients     e Both J and V are not considered to be in local equilibrium but can be annihilated by bimolecular  recombination  This feature of the CDD model performs annihilations between not only the free  defects but also between the impurity defects pairs  which play the role of recombination centers   Therefore  the J V recombination rate is strongly enhanced at high dopant concentration     e It is now well established that transient enhanced diffusion  TED  is strongly correlated with the  evolution of the self interstitial supersaturation governed by the nucleation and evolution during the  high temperature anneal of a variety of extended defect structures  such as the interstitials clusters   Thus  the predictive process modeling of the deep submicron MOSFET technologies requires the  development of accurate diffusion models which take into account the full set of interactions between  dopants and the point or extended defects  clusters   The PLS model  coupled with the BCA  implantation  allows you to calculate the evolution of the clusters  Therefore  it is unneccessary to  artificially add  311  or other clusters because the model automatically generates them and  calculates their evolutions according to the Ostwald ripening theory     e At concentrations near the solid solubility limit  a dynamic clustering model is considered   Immobile complexes  As  V or B   Im  are formed  which result in decrease of t
291. center of  the gate at x 0 0 for the initial grid  Therefore  polysilicon should be etched to the right from x 0 3  To  do so  select Right from the Geometrical type  and set the Etch location to 0 3  This will give the    following statement       POLY DEFINITION             The structure created by this      You can obtain an arbitrary    ETCH POLY RIGHT P1 X 0 3    ETCH statement is shown in the left hand plot of Figure 2 16   shape of geometrical etching by using the Any Shape button  For    example  to make a tilted etch  specify X and Y locations of four Arbitrary points as shown in Figure    2 17     The following four etch lines will be inserted into the input file       POLY DEFINITION             ETCH CONT X 0 4 Y 1  ETCH CONT X 2 Y 1  ETCH DONE X 2 Y  1                      ETCH POLY START X 0 2 Y  1       2 20    Silvaco    Tutorial       TonyPlot   2 2 1  File v    View      Plot v   Tools       Print      Properties       Help      ATHENA ATHENA  Etch Right Arbitrary Etch                 amp  R E     amp  B       EA     amp    amp                                                                                            q  k            amp   Ed     amp    oe eee coe FENE FERE FERS FENE FENE ERTE FERAI   amp    ENE ENEN ENEN FENE FERN ENEN ERNS FENE ENEN FNT             Gon  i  Rian    ag     amp    amp                                                                                                                                                                   
292. cess in   C     REFLOW specifies that material flow should be calculated during the bake process  Default is  False     TIME specifies the amount of time for the bake step in specified units  Default is MINUTES   HOURS  MINUTES  and SECONDS specify the units of the TIME parameter     DUMP and DUMP PREFIX specify that a structure file be output at every DUMPth time step  The  files are readable with the STRUCTURE statement or can be displayed using ToNyPLOT  The names will  be of the form DUMP  PREFIX lt time gt  str  where  lt time gt  is the current total time of the simulation                    Examples    The BAKE command is entered with the user specified diffusion length for post exposure bake           BAKE DIFF  LENGTH 0 05       BAKE can also be entered with time and temperature parameters for post exposure bake              BAKE TIME 45 TEMP 120                For photoresist reflow  post development bake   the above command is entered with the REFLOW  parameter        BAKE REFLOW TIME 45 TEMP 120                                     For more examples  see DIFFUSE and RATE  DEVELOP              6 14 Silvaco    BASE MESH       6 7  BASE MESH    BASE   MESH specifies parameters of the base mesh used for initial grid generation                    Syntax       BASE  MESH    SURF LY  lt N gt    SURF DY  lt N gt    ACTIVE LY  lt N gt     ACTIVE DY  lt N gt    EPI LY  lt N gt    EPI DY  lt N gt     SUB LY  lt N gt    SUB DY  lt N gt    BACK LY  lt N gt    BACK DY  lt N gt  
293. cifies a multiplier for chemical flux generated by the plasma etching machine   Default is 1 0     MAX DEPOFL specifies a multiplier for deposition flux generated by the plasma etching machine   Default is 1 0     Parameters used for Monte Carlo Plasma Etch Model  ION TYPES specifies the number of different ions in etching plasma     MC POLYMPT specifies the number of MC simulated polymer particles normalized to the volume of  the ejected material     MC RFLCTDIF specifies the reflection diffusiveness  1 corresponds to completely diffusive reflection   0 corresponds to ideal mirror reflection     MC ETCHI specifies the etch rate parameter for the first type of ions  unitless   MC ETCH2 specifies the etch rate parameter for the second type of ions  unitless     MC ALB1 specifies the reflection parameter for the first type of ions  unitless  This coefficient can  vary from 0  no reflection  to 1  100  reflection      MC ALB2 specifies the reflection parameter for the second type of ions  which is unitless  This  coefficient can vary from 0  no reflection  to 1  100  reflection      MC PLM ALB specifies the reflection parameter for polymer particles  which is unitless  This  coefficient can vary from 0  no reflection  to 1  100  reflection      MC NORM T1 specifies the plasma normal temperature for the first type of ions  which is unitless   MC NORM T2 specifies the plasma normal temperature for the second type of ions  which is unitless   MC LAT T1 specifies the plasma lateral 
294. cifies the temperature of the substrate during implantation     DIVERGENCE specifies the implant beamwidth in degrees  When the BEAMWIDTH angle is specified  the TILT angle is varied between TILT     DIVERGENCE 2 0  Each ion will have an angle somewhere in  this range decided by a random number generator  Distribution of the ions is uniform across the  defined angular distribution  Correct specification of DIVERGENCE is generally required for accurate  zero degree implant ranges  Default is 1 degree     IMPACT POINT specifies  only in the Monte Carlo method  that the ion beam enters the surface in  the point with lateral coordinate x   left IMPACT POINT L   where left is the x coordinate of  the left boundary of the structure and L is the length of the structure  This parameter would be used  for calculation of the point source 2D distribution and spacial moments using Monte Carlo method     IONBEAMWIDTH specifies the ion beam width in nanometers  It can only be used with the  IMPACT POINT parameter                                                  SMOOTH specifies that a special Gaussian convolution smoothing to be applied to the Monte Carlo  results  SMOOTH multiplied by estimated standard deviation of the whole profile serves as the standard  deviation for the Gaussian formula     SAMPLING specifies that statistical sampling to be used in the Monte Carlo method   DAMAGE specifies that damage formation should be calculated during Monte Carlo implant     MISCUT TH and MISCUT 
295. ckly the  enhancement factor reach its maximum      Note  For exponentially varying solutions  e g   oxidation stress and dopant concentrations  both C and ENH MINC are taken to  be log base 10 of their respective value        6 90 Silvaco    RATE ETCH       6 51  RATE ETCH    RATE ETCH specifies the etch rate parameters for a machine  which is used in a subsequent ETCH  statement in ELITE                                                           Syntax  RATE ETCH  MACHINE  lt c gt  MATERIAL   NAME RESIST  lt n gt   WET ETCH   RIE   PLASMA   C PLASMA  A H   A    A S   U H   U    U S   N M                   DIRECTIONAL  lt n gt    ISOTROPIC  lt n gt    CHEMICAL  lt n gt    DIVERGENCE  lt n gt    PRESSURE  lt n gt    TGAS  lt n gt    TION  lt n gt    VPDC    lt n gt    VPAC  lt n gt     LSHDC  lt n gt    LSHAC  lt n gt    FREQ  lt n   MGAS  lt n gt    MION  lt n gt    QI1O  lt n gt    QCHT   lt n gt    CHILD LANGM COLLISION LINEAR CONSTANT   IONS ONLY    NPARTICLES  lt n gt    ENERGY DIV    lt n gt    OUTF TABLE    lt  lt n gt  gt    OUTF ANGLE    lt c gt                                                                              ER LINEAR ER INHIB ER COVERAGE  ER  THERMAL    K I  lt n gt    K F  lt n gt    K D  lt n gt    SPARAM  lt n gt    THETA  lt n gt     IONFLUX THR  lt n gt    MAX  IONFLUX  lt n gt    MAX  CHEMFL  lt n gt    MAX DEPOFL  lt n gt    ION TYPES    lt n gt    MC POLYMPT    lt n gt    MC RFLCTDIF    lt n gt     MC ETCH1    lt n gt    MC ETCH2    lt n gt    MC
296. con technology  SSUPREM4 lacks a complete physical  description of etching steps  To circumvent this problem  SSUPREM4 considers etching simulation as a  purely geometrical problem  Etching is simulated as a low temperature process  Impurity  redistribution is ignored during the etching process     Etch steps are simulated using the ETCH statement in which the material to be etched and the  geometrical shape of the etch region are specified  It is not necessary that material to be etched be  exposed or at the top surface of the structure  There are five different ways to define an etch region     1        A polygonal region may be defined by specifying the x and y coordinate of each vertex in the poly   gon  Etching will confined to that polygon only       A region to the left or right of a line segment may be defined by specifying the x and y coordinates    of the end points of the line segment  Etching will then proceed from the left or right of the line  segment to the edge of the structure       A region between the top boundary of the structure and a line obtained by translating exposed por     tion of the top boundary down in the y direction may be defined by specifying the DRY parameter  in the ETCH statement  The THICKNESS parameter will determine the distance to etch in the y   direction             An extension of the DRY etch produces the etch region with slopped sidewalls and undercuts under    the mask  The UNDERCUT parameter specifies the top boundary   s extens
297. cording to the diffusion equation with the diffusion constant D being  independent of time  concentration and location     dM      V  DV  5 31  7  DVM     M is the PAC concentration and    is the PEB time  For a more general discussion  see  110   M is  calculated by solving the two dimensional diffusion  Equation 5 31      The diffusion length can be related to the bake time t and the diffusion coefficient D   2  2tD      5 32    For a PEB of 60 seconds at 125  C  a diffusion length in the range of 0 04  lt  o  lt  0 06 microns would be  appropriate     PEB can also be specified with parameters temperature and time  The diffusivity D is given by the  equation     D   D exp  Dg kT  5 33                   You can specify the Dg and Dg parameters in the RATE   DEVELOP command        Reflective    boundary conditions at the air resist interface and at the resist substrate interface must  be incorporated to ensure that the total amount of dissolution inhibitor in the resist is conserved   M x y t  is extended into regions outside the resist by reflection at the planar interfaces     A post development bake is also available  It models a physically based reflow of the photoresist        5 12 Silvaco    OPTOLITH Models       5 6  The Development Module    The development model is based on the knowledge of the PAC distribution or dissolution inhibitor in  the resist layer after exposure and post exposure bake  In classical Novolac resists  the dissolution  inhibitor and the PAC are 
298. ctivation parameters of B  P  As  and SB in Germanium  The  experimental data from  132  and  133  were used for parameter estimations    Silvaco D 1    ATHENA User s Manual       D 1 2  Optolith Features    i   2     Added the multi image capability to the proximity printing lithography module     Improved MULT EXPOSE capability  ATHENA now takes into account different values of Dill s  C parameter for each wavelength in case of broadband illumination                 D 2  ATHENA Version 5 14 0 R Release Notes  D 2 1  SSUPREM4 Features    1     D    Do    Introduced new parameters to control the trajectory visualization capability in Monte Carlo  implant  TRAJ FILE  Specifies the name of the file in which ion trajectories calculated with the  Monte Carlo  BCA  method are to be saved and N TRAJ  which specifies the number of ion  trajectories to be saved in the TRAJ FILE           Improved statistics and consequently the effective accuracy of Monte Carlo  BCA  ion implantation  simulation in 2D structures  This is achieved by more accurate estimation of number of trajectories  near the side edges of the simulation structure    Improved stopping power model for 11 20 channel in SiC  This is a rare event channel for standard  wavers  0001   But it has some influence in case of angled implants into trenches parallel to the 11   20 plane        Added capability to control triangle orientation of initial ATHENA grid  New parameters TRI  LEFT  and TRI RIGHT are added to the LINE X s
299. cture   Dislocation LOOpSiiiri i mpri atre a e aah ipa 3 1 ae E occccecccccccecccceccececcececceceececeececeececeececeeceeeee 2 26 28   DEIS 282 e N a E eh tae et 2s 3 2 Initial Substrate            ccccccccccececccceeeeeenscceeeseessceeeeees 2 14 16   dopat eausa Pathe aknan EEE ad Heed caer a 3 1 Rectangular Grid         scssssecssssssesesecesneecsnsseessneeesnnaes 2 8 14   Dos   Loss Modelisasn inanin aR 3 7 Reducing Grid Points in Non Essential Areas  See also Interface Trap using the Relax Parameter    2 22 25   Reflecting a Structure in the    Y    Plane  E using the Mirror Parameter    2 25 26  Simple Film Depositions             eeceeeeeeseesseeeeseeeereteaee 2 16 19   Electrical Deactivation and Clustering Models Simple Geometrical Etches             ecceeeeeeseeeeeeeeeeeeeeee 2 20 22  Electrical Activation Model    3 19 20 Structure File for Plotting or Initializing an ATHENA  Transient Activation MOdel             cesesceeeesseeeeeneeeeeneeereeees 3 20 Input file for Further ProceSSing              sseeeeesrereees 2 28 29   Epitaxy SIMUlAON asse iaa ai raat daad e eane vedanta 3 91 lon Implantation MOdEIS           ceccscerecsrseeseeeeees 3 66 89  3 93   ERFG IGG  ios adestatssdetiigtaienadtnubrwaeaciteten 3 58 Analytic       sseeceesseseeseeseeseeteseeseeseeneeseseestereeneenssnesens 3 66 70   Monte  Car orri rnea a othe eect N tices 3 77   Silvaco Index 2    Index       Multi Layer  ovs cccc  cctcessitecteeteitacetecestepaaiei ebiattees Adeeise 3 70 72  Stopping 
300. d by the SAMPLING parameter           Note  When TRAJ  F ILE parameter is specified  the Monte Carlo implant simulation will be performed on a single  processor  even if the multiple processors are available and parallel capability is specified by the  P parameter in the GO  ATHENA statement              Silvaco 6 47    IMPLANT ATHENA User s Manual       N TRAJ specifies the number of ion trajectories to be saved in the TRAJ FILE  The default is  minimum of N  ION and 2000                 Z1 specifies the atomic number of an  inert  ion used only for damage or amorphization of substrate   No new impurity will be introduced into the structure except  damage   which will affect subsequent  Monte Carlo implants     M1 specifies the atomic weight of the  inert  ion with atomic weight of Z1  If M2 is not specified  the  atomic weight of the main isotope will be used     Analytical Implant Example    This example specifies that a 100keV implant of phosphorus to be done with a dose of 1 0 e14 and with  a tilt angle of 15   to the surface normal  The Pearson model is to be used to calculate the doping profile        IMPLANT PHOSPH DOSE 1E14 ENERGY 100 TILT 15                   SVDP Boron Implant Example    This example shows the syntax for a zero tilt and 50keV boron implant through 5nm of screen oxide   The oxide is defined by S  OXIDE and this definition is independent of any actual oxide in the structure  itself           IMPLANT BORON DOSE 1E13 ENERGY 50 TILT 0 S OXIDE 0 005     
301. d for energy range 80  100keV   Only for 15 80keV   Experimentally verified for 5 65keV  For energy ranges  1 5keV and 65 80keV  the same procedures is used for boron     b  c  d Experimentally verified for 15 80keV  Numerical extrapolation is outside this energy range   e       Experimentally verified for 5 180keV  Interpolation between 5keV and UT MARLOWE calculated profile at 0 5keV     If you choose a simulation outside the parameter ranges  described in Table 3 7  ATHENA will not use  the Dual Pearson Implant SVDP Models but will use the standard tables instead  When using the  Dual Pearson model  remember the following     e For implant energies below 15keV  for boron  BF  and arsenic  the simulation predicts the    dopant profiles for implants into a bare silicon surface  i e   silicon wafer subjected to an HF etch  less than two hours before implantation   Low energy implant profiles at such low implant ener   gies are found to be extremely sensitive to the presence of a thin  0 5 1 5nm  native oxide layer or  disordered silicon layer on the wafer surface  49   Remember this fact when using the model for  the simulation of low energy ion implantation and when performing implantations     e For implant energies between 10keV and 15keV  the simulations are performed for boron  BF       and arsenic by using an interpolation between the Dual Pearson Model parameters at 15keV  and  the Dual Pearson Model parameters at 10keV  The parameters at 15keV correspond to implanta  
302. d gain throughout the full operating range  In some cases  the base current may be  less affected in the very high and very low injection regions by changes in the surface recombination  velocity  and adding some scope to fine tuning the profile of the base current versus base emitter  voltage curve     It is important to define the poly emitter as an electrode so it can define the interfacial surface  recombination velocity  VSURFN and VSURFP  using the CONTACT statement  This is in contrast to the  MOSFET calibration text where we strongly advise you not to define the polygate as an electrode  Be  sure not to get these two confused  The parameter that activates the recombination velocity is  SURF   REC  which is also in the CONTACT statement  For example  an NPN BUT statement would be        CONTACT NAME emitter N POLYSILICON SURF REC VSURFP 1 5e5          A lower value of recombination velocity  VSURFP  will reduce the base current and increase the gain   hfe  The reverse is also true        Silvaco 2 49    ATHENA User s Manual       2 6 3  Tuning the Collector Current   All Regions    Figure 2 37 shows the parameter that affects the collector current over the entire range is the intrinsic  base resistance  The base resistance is primarily determined by the dose of the base implant s   An  increase in the base implant dose will decrease the intrinsic base resistance and decrease the collector  current in all injection regions  In some cases  however  the collector current 
303. d in the    Physics    Chapter of the ATLAS USErR   s MANUAL  VOL  I  There are three  user definable parameters for the Klaassen band gap narrowing model  The BGN E parameter has a  linear dependency on doping concentration and has the default value of 6 92e 3 volts  BGN c has a  square root dependency with doping concentration and has the default value of 0 5  BGN  N is the value  of doping where band gap narrowing effectively starts to take effect and has a default value of 1 3e17   cm3  The equivalent default setting consequently should be written as        MATERIAL BGN E 6 92e 3 BGN C 0 5 BGN N 1 3e17             You can alter these parameters to modify the current gain of the device in the medium injection  regime  For example  reducing the linear parameter from 6 92e 3 to 6 5e 3 is sufficient to cause a  significant increase in current gain in the medium injection region  Although the bandgap narrowing  parameters affect both collector and base currents  the base current is affected to a greater degree  The  most sensitive plot to see the effect of small changes to bandgap narrowing is a plot of current gain  versus log of collector current  A reduction in bandgap narrowing will result in an increase in current  gain in the medium current injection region     2 6 5  The Base Current Profile   Low Injection    This is one case where there is an interdependency on one parameter  since the intrinsic base  resistance not only affects the collector current in all regions  s
304. d in the simulation     MULT IMAGE specifies that the preceding and current images will be added  You can add any  number of images as long as the IMAGE command contains this boolean        X CROSS and Z CROSS specify if the one dimensional image is parallel to the x axis or z axis    respectively     ONE DIM use a one dimensional image module that images a line drawn across a 2D layout  This is    the best method when the image will be used for subsequent    Example             EXPOS    E statements           This statement loads a mask named MASK SEC and specifies x resolution in the image window of    DX 0 1 micrometers  It then runs the imaging module        IMAGE INFILE MASK SEC DX 0 1                         For more information  see ILLUMINATION  PROJECTI             ON  IL                      LUM  FILTER  PUPIL FILTER                 ABERRATION  LAYOUT  and EXPOSE                             Silvaco    IMPLANT       6 28  IMPLANT    IMPLANT specifies an ion implantation process step   Syntax    IMPLANT  GAUSS   PEARSON  FULL LAT MONTECARLO BCA   CRYSTAL AMORPHOUS    IMPURITY ENERGY  lt n gt  DOSE  lt n gt   FULL DOSE    TILT  lt n gt    ROTATION  lt n gt    FULLROTATIO  PLUS ONE   DAM FACTOR  lt n gt    DAM MOD  lt c gt    PRINT MOM   X DISCR  lt n gt    LAT RATIO1   LAT RATIO2   S OXIDE  lt n gt    ATCH DOSE RP SCALE MAX SCALE   SCALE MOM   ANY PEARSON     N ION  lt n gt    MCSEED  lt n gt    TEMPERATURE  lt n gt    DIVERGENCE  lt n gt    TONBEAMWIDTH  lt n gt      
305. ded to include syntax ABOVE and BELOW to facilitate the  truncation or planarization of structures for interfacing to device analysis or following point defect  based diffusion  ETCH ABOVE and ETCH BELOW both sustain one dimensional calculation and  can be used in the inverse of the STRETCH operations described above     The STRIP statement has been enhanced to include material specification  This allows strip of any  material  If no material is specified  STRIP removes all photoresists and BARRIER materials     The IMPURITY statement has been added  The IMPURITY statement allows the specification of  parameters for the new impurities for FLASH and SSUPREM4 that have been introduced in this  release  The IMPURITY statement is intended to stop proliferation of multitudinous statements of  the form PHOSPHORUS  BORON  ARSENIC  etc  as new impurities are added  Parameters for  boron  for example  can be specified with IMPURITY I BORON instead of the BORON statement   The IMPURITY statement allows setting of atomic mass and atomic number using the AT MASS  and AT NUMBER parameters respectively  These parameters effect the Monte Carlo ion implant  and allow user defined impurities for ion implant by redefining an existing impurity with the  desired characteristics     e The number of user definable materials has been increased to 10     e Shell statements such as QUIT and HELP have been made case insensitive        D 20 Silvaco    ATHENA Version History       e A new algorithm for 
306. developers        Examples    The following statement sets the routine output to include more information     OPTION NORMAL       Silvaco    OXIDE ATHENA User s Manual       6 40  OXIDE    OXIDE specifies coefficients for use during oxidation steps  AMBIENT is a synonym for OXIDE                             Syntax    OXIDE  DRYO02 WETO2  ORIENT  lt n gt    IN  L O0  lt n gt   1  BREAK  lt n gt           IN  L E  lt n gt    LIN H 0  lt n gt    LIN H E  lt n gt              L PDEP  lt n gt            PAR L 0  lt n gt           PAR L E  lt n gt    PAR H 0  lt n gt    PAR H E  lt n gt            P  BREAK  lt n gt   ORI DEP   ORI FAC  lt n gt               HCL PC  lt n gt    HCLT  lt n gt    HCLP  lt n gt    HCL PAR  lt n gt    HCL LIN  lt n gt    THINOX  0  lt n gt    THINOX E  lt n gt    THINOX L  lt n gt    THINOX P  lt n gt    BAF   DEP    BAF  EBK  lt n gt    BAF PE  lt n gt    BAF  PPE  lt n gt                     BAF  NE  lt n gt    BAF  NNE  lt n gt    BAF  K0  lt n gt    BAF  KE  lt n gt            STRESS DEP   VC  lt n gt    VR  lt n gt    VD  lt n gt    VT  lt n gt    DLIM  lt n gt    MATERIAL    MATERIAL                             DIFF  0  lt n gt    DIFF E  lt n gt    SEG 0  lt n gt    SEG E  lt n gt    TRN 0  lt n gt    TRN E  lt n gt    HENRY COEFF  lt n gt    THETA  lt n gt    ALPHA  lt n gt    MIN OXIDANT  lt n gt    INITIAL  lt n gt   SPLIT  ANGLE  lt n gt                                                SPREAD  lt n gt    MASK EDGE  lt n gt    NIT THICK  lt n gt 
307. diffusivity and  intrinsic carrier concentration through C Interpreter function specified by SIGECDF MOD and  SIGECNI MOD parameters in the METHOD statement                 Silvaco 3 95    ATHENA User s Manual       3 9 3  Boron Transient Diffusion Suppression by Carbon Incorporation Models    There are experimental indications  82  that interstitials diffuse slowly and tend to disappear or get  trapped more intensively in SiGe layers with substitutional carbon  These effects result in suppressing  of the boron transient diffusion when carbon is incorporated into SiGe layer  The following equations  shows the diffusivity of interstitials  Dz  is controlled by the DCARBON  E parameter        DASiy_ yy    Ge C    DSi   ep PEAREN E  3 243  l   This model also introduces an additional sink for interstitials in the layers with high carbon   concentration  Intensity of the sink is proportional to the carbon concentration and is controlled by the   recombination parameters  KCARBON 0 and KCARBON  E  specified in the    INTERSTITIAL SILICON      statement           This means that the following recombination term will appear on the right hand side of the interstitial  transport equation Equation 3 24     _KCARBON    Rcargoy   KCARBON   exp T 5  maea  3 244  Si       3 96 Silvaco    SSUPREM4 Models       3 10  Stress Models    ATHENA allows you to calculate stresses generated during semiconductor processing  There are three  ways to calculate stresses  The first way is to calculate th
308. e  during subsequent processing  the polysilicon dopant can penetrate into the underlying silicon  substrate  This simulation artifact can cause threshold voltages to be very different than expected     To rectify this simulation artifact  you can control the number of grid layers added during the  oxidation with the GRID OXIDE and GRIDINIT OXIDE parameters in the METHOD statement  You  should place this statement before the gate oxidation diffusion step  Setting these parameters to a  value  which results in three or four grid layers in the gate oxide  e g   15 angstroms for a 60 angstrom  gate oxide thickness   can alleviate this problem  We suggest you to set back these parameters to the  default values after the gate oxidation step              Figure 3 17 shows a cross section of an NMOSFET with a highly doped phosphorus polysilicon gate   The default grid spacing in the oxide is used in Figure 3 17 b   while the grid spacing is adjusted  properly for Figure 3 17 a   By comparing these two figures  it is obvious that phosphorus has  penetrated through the gate oxide for Figure 3 17 b  but does not penetrate through the gate oxide in  Figure 3 17 a         Silvaco 3 59    ATHENA User s Manual       TonyPlot   2 6 9    Materials Materials  Silicon Silicon  SiO2 i SiO2  Polysilicon Polysilicon  Aluminum Aluminum       SILVACO International 1996       Figure 3 17   a  MOSFET Structure with Proper Gridding in Gate Oxide   b  MOSFET Structure with Default Grid  Spacing in Gate
309. e C 12  Comparison of doping profiles analytical extraction versus Monte Carlo  Analytical implants are run  instantaneously whereas Monte Carlo takes up to 30 minutes       Silvaco C 15    ATHENA User s Manual       This page is intentionally left blank        C 16 Silvaco    Appendix D   ATHENA Version History          This appendix lists the release notes in reverse chronological order for each ATHENA release  The  initial release of ATHENA incorporates the standalone capabilities of previously released versions of  SSUPREM4  ELITE  and other functionalities  Version histories for SSUPREM4 are included here for  reference     D 1     ATHENA Version 5 16 0 R Release Notes    D 1 1  SSUPREM4 Features    1     The Monte Carlo  BCA  implantation module has been multithreaded     By default  ATHENA runs on the maximum number of CPUs available online  You can specify the  number of CPUs to be used by the parameter   P  lt n gt   in the athena command line as follows     athena  P 2 input in    When running athena within DECKBUILD  add the   P 2  option to the  simflags  parameter of  the Go statement    The speedup achieved with the multi threading of the BCA module is close to linear for simulation  of large number of trajectories  N ION  gt  50000   This almost optimal behavior is due to the  inherent parallel structure of the BCA implantation module     There are following limitations for using multi threading version of MC Implant Module  If  you specify the TRAJ FILE parame
310. e NA  parameter on the EXPOSE statement is used only to specify that the vertical propagation model be  used  NA 0 0   The default is the large numerical aperture model     Multiple exposure capability has been added to the EXPOSE statement  Using the boolean parame   ter MULT EXPOSE allows an arbitrary number of exposures to be simulated in the same resist   Applications are multiple focal planes  FLEX method  and multiple wavelengths     Multiple image capability has been added to the IMAGE statement  Using the boolean parameter  MULT IMAGE allows an arbitrary number of images to be superimposed in the same aerial image   The application is for superposition of multiple images with different focal planes  FLEX method      A new parameter  POWER MIN  lt n gt   in the EXPOSE statement has been introduced to control the  extent of the exposure calculation  This parameter controls the amount of loss to be considered in  the calculation  After reflection  transmission and absorption the intensity may be so low as to be  negligible  POWER MIN sets the level below which the intensity will be ignored     The imaging module now includes a one dimensional mode that allows the calculation of one dimen   sional as opposed to two dimensional images  The one dimensional image capability is invoked by  specifying the ONE DIM parameter on the IMAGE statement  The advantage of the ONE DIM  mode is realized when using the calculated image in the exposure module  The two dimensional cal   cul
311. e a time step  a point could jump over a slow region     Errors in direction arise from non uniform rates along the string and from certain boundary  conditions  During each step perpendicularity to the front  which is defined below  is assumed to be  constant in direction  If two adjacent points have greatly differing rates  however  the quickly moving  point cannot start turning towards the slower point until the end of the time step  This mechanism  tends to introduce relatively small errors in position because the error is roughly proportional to the  cosine of the angle error        Silvaco 4 3    ATHENA User s Manual       4 3  Deposition Models    ELITE provides a set of deposition models that correspond to different physical deposition techniques   Most of the models were first developed at UC Berkeley  84    85    86   and  87  and were originally  implemented in the topography simulator SAMPLE  88   Any one of these models can be selected to  define a    machine    for simulating processes on the structure  In addition  ELITE provides a conformal  deposition capability that can be used to define initial structures     In most integrated circuit processes  at least one layer of interconnect is formed by depositing and  patterning an Al or Al alloy film  The trend toward lower temperature processing  combined with the  very steep edge profiles produced by anisotropic dry etching processes  results in sharp step profiles   which are difficult to cover with a uniform fi
312. e amount of fill is to be computed  The DIAG parameter  indicates that only the diagonal blocks should be factored in the matrix  The KNOT parameter is  inactive  DIAG is the default parameter  Although under certain conditions  one dimensional stripes    FULL  FAC will perform better                       TRUNC DEF specifies that defect concentrations that become negative due to numerical difficulties  be forced to a positive value        6 66 Silvaco    METHOD       Parameters Related to Timestep Control  INIT TIME specifies the initial timestep value  The default is 0 1 seconds     PDINIT TIME specifies the initial time step for point defect diffusion  Point defects are held fixed for  the first timestep  The default is 105 seconds     T DEFECT specifies time in seconds for which point defect injection will be neglected during an  oxidation  The default is 5 seconds        OXIDE GDT limits the timestep during oxidation to a fraction  OXIDE GDT  of the time required to  oxidize the thickness of one grid layer  GRID OXIDE   The timestep may be limited by oxidation and  by diffusion  and the value of OXIDE GDT will limit the timestep if it is more stringent than the limits  imposed by diffusion  OXIDE GDT lt  lt 1 is recommended to improve resolution of oxidizing diffusions   The default is 0 25              REDO OXIDE saves time by not computing the oxide flow field every time the diffusion equation for  impurities is solved  The REDO OXIDE parameter specifies the percentage
313. e amount of runtime output has been set such that the default level provides appropriate infor   mation for day to day use  The level of output may be specified by the OPTION statement and either  QUIET  NORMAL  VERBOSE  or DEBUG parameters  The default is NORMAL     e The ECHO feature has been set to on by default  This can be altered by specifying UNSET ECHO or  SET ECHO to turn the echo off or on respectively     e Command line continuation was supported  The    plus  symbol at the beginning of a line indicates  that it is a continuation of the previous line  The   at the end of a line indicates that the line follow   ing it is a continuation  The continuation symbol is now a space followed by a backslash character           Silvaco D 27    ATHENA User s Manual            at the end of the line to be continued     e A smoothing algorithm has been incorporated into the mesh initialization calculation  This guaran   tees numerically desirable mesh characteristics for meshes with rapidly changing spacing     e The deposition and epitaxy algorithm has been improved to be more robust and to provide more con   sistent gridding  The parameter MIN SPACE has been added to control the resulting grid     e The parameter TOP LAYER has been added to the ETCH statement to indicate that only top layers  of the etched material should be removed        D 28 Silvaco    Appendix E   TSUPREM4 and TSUPREM3 Compatibility Features       The following changes in ATHENA syntax and functionalities 
314. e ateis 3 16 Resist exposure with accounting dose effect              ese 5 11   DEPOSIT ien te ni a i eee 6 21 23 Resist exposure without accounting dose effect                0  5 11   Deposition Models                 sccceessecessseseeserereeeeeees 3 91  4 4 11  OUD rae a a Bente A AN 44 F   GONPONMAN coe Sas EET BEPPE AE SOTIE EE E E E EAEE AEE 4 4 Flux Equations  Conical CNET aena aa A as Aon es eine 3 26 28  KE r E E ae Flux EXPreSSiOn      0  scscseseseescseseceescsesecevevscsesevevsveessaveneeees 3 4  al ee VE eas A Tipe EE Me te 4 5 6 Flux Jump Eao ae EEE E E E 3 4  Epitaxy Simulation sessi einnsean EE 3 91 Free Point Defect Damage           s sssssesssessseesseseeeseneesnee 3 87  Grid Conttol  Saves civacen tetera RO 3 91 Fresnel diffraction        ssceseeeeseseseseseetseeeteetenerenees 5 15  5 16  E EIA E E E A E 4 6 7 Fully Coupled Equations  Monte  CANO erapr aaee en nA nE EELEE A 4 10 11 CNET ts ha  cist ev hate ter eet E AE AE EE 3 26  Planetary oiar a a a Pa eee 4 7 9 Fully Coupled Model  Unidirectional sacair a oes 4 4 5 High Concentration Extension       0 c scsscsessesseseeseesesseeeeees 3 17   Deposition Wet Dry Etching RTA Diffusion Modelling                 ccccceeeeceeeeeeeeeeeeeeeeeeeaes 3 18  Defining ELITE Deposition Machines                esseeeee 2 61 62  Defining ELITE Etch Machines G  Modifying ATHENA ELITE Default Machines                ee 2 60  Using A Specified Etch Machine          ccccccssssssesceseteesceeeees 2 64  Generation Recombinati
315. e deposited layer  where C  INTERST specifies concentration at  the bottom of the layer and F   INTERST specifies concentration at the top of the layer                                C VACANCY specifies the concentration of vacancies in deposited layer  Units are cm        F VACANCY can only be specified together with C   VACANCY  This parameter generates the linearly    graded vacancy concentration in the deposited layer  where C   VACANCY specifies concentration at the    bottom of the layer and F   VACANCY specifies concentration at the top of the layer  Units are cm          C FRACTION specifies the fractional component of the first element of a ternary compound to be  deposited  i e   Al is the first component for AlGaAs   The fractional component of the second  component  i e   Ga is the second component for AlGaAs  is 1   C  FRACTION  This parameter is valid  for standard ternary materials AlGaAs and InGaAs or user defined ternary materials with the  following standard names  AlInAs  InGaP  GaSbP  GaSbAs  InAlAs  InAsP  GaAsP  HgCdTe  InGaN  and  AlGaN     F FRACTION can only be specified together with C FRACTION  This parameter generates the  deposited layers with linearly graded fractional component  where C FRACTION specifies the  fractional component of the first element at the bottom of the layer and F FRACTION specifies the  fractional component of the first element at the top of the layer  This parameter is valid for standard    ternary materials AlGaAs and InGa
316. e determined  the fluxes of the polymer particles are calculated as  follows  As the result of ion flux interaction with the surface segment  the polymer particles are  generated  The angular distribution of the polymer particles is uniform and the current density of  these particles is determined by the etch model  see    Linear Etch Model    on page 4 18  and the sum of  the fluxes from incoming ions  neutrals  and from polymer particles ejected from other surface  segments  Obviously  the latter flux needs to be pre calculated     This flux is computed as follows  First  the configuration  or geometrical  factors  are calculated  These  factors are the fractions of the number of particles ejected from one segment and absorbed by the other  one  These are calculated using the same trajectory tracing algorithms  which are described above for  the incident ions and neutrals with the only one difference  starting points are not at the upper  boundary of the simulation area but at the surface segments  Then  an iteration process is initialized   At the first iteration  only the incoming ion and neutral fluxes are used for calculation of the ejection  rates from each surface segment  Knowing the current densities of ejected particles and the  configuration factors  the polymer fluxes are calculated  At subsequent iterations  the polymer fluxes  calculated at the previous iteration are used to update the etch and ejection rates  The iterations are  repeated until etch and ejectio
317. e entire grid in both directions   Figure 2 20   the following lines will be inserted into the tutorial input file          RELAX EVERYWHERE  RELAX DIR X T DIR Y T                         TonyPlot   2 2 1      File vj  View vj   Plot yji Tools vj   Print      Properties 7    Help 7   ATHENA    Spacer formation using dry etch                foe    ere  RAR AR carat  cpreritatrtetetetstet ty  bebi  ririt  Hirti  eer ea    fy  i  ct    U  tite    ni    S  iy    o a CO A GD TD    Micrans    o  k    o    n                                                                                  a  i                   T  4       Silicon  Sis    Polysilicon    o  a        gt   i                                                                                                                                                                                                                                                                                                                                                                                                                                           nternational 1994       Figure 2 19  Spacer Formation using Dry Etch  The resultant grid is shown in the upper right corner of Figure 2 20     The total number of grid points is reduced from 708 to 388  When comparing with the grid before  relaxation  upper left corner of Figure 2 20   note that the grid within the oxide spacer and polygate  has not changed  This is due to three factors     e the re
318. e grid must be improved  First  make a better grid in the y direction  Usually  it   s  necessary to get better resolution for the depth profile after the ion implantation step  When adaptive  gridding capability isn   t used  apply preliminary knowledge of the process you are going to simulate     Suppose you want to perform a 60 keV boron implant so that the implant peak would be around 0 2  um  It is reasonable to make a finer grid at this depth  To achieve this  simply add one more Y line by  setting the Location to 0 2 and the Spacing to 0 02  The new rectangular grid  Figure 2 6  will now  appear  Notice the number of points and triangles have increased to 231 and 400 respectively        Silvaco 2 11    ATHENA User s Manual       View Grid    241 points  400 triangles        Figure 2 6  New Rectangular Grid    The minimum spacing in the Y direction is at 0 2 um  and the spacing gradually increases toward the  bottom and the top of the structure  Since the spacing at y 0 is still 0 1  only 3 grid lines lie between 0  and 0 2 um  You may want to make a finer grid at the top of the structure  To do this  select the top line  of the Y Location scrolling list  change the spacing to 0 03  and press the Insert button  The selected  line will be replaced by Y LOC 0 00 SPAC 0 03  If you then press the View    button  there will be 8  grid lines between y 0 and y 0 2  Figure 2 7      View Grid         r5 points  480 triangles     Figure 2 7  Inserting New Grid Lines       2 12 Si
319. e has to be converted into SiGe  material with a variable X composition to pass the device into ATLAS  To do this  type in the following  STRUCTURE command example           STRUCT OUTFILE HBT STR SIGE CONV          This will save a structure call HBT  str and converts the germanium dopant profile into the correct X  composition SiGe material        Silvaco 2 55    ATHENA User s Manual       2 8  Using Advanced Features of ATHENA  2 8 1  Structure Manipulation Tools    Using the Structure FLIP Capability    The Structure FLIP capability allows you to flip the structure in the x axis  The STRUCT FLIP Y  statement causes the structure to be vertically flipped     This operation can be useful if some process steps  e g   etching  deposition  or implant  take place from  the backside of the wafer  By using this statement  you can flip a structure  perform these steps  and  then flip it back     Using the Stretch Capability    In some cases  a device characterization as a function of length is of interest  For example  the drain  current characteristics depend strongly on the gate length  The Stretch capability makes it possible to  generate a number of MOSFET structures with different gate lengths from one ATHENA simulation   The structure obtained so far in this tutorial  See Figure 2 22  has a gate length of 0 6 u  To increase  the gate length to 1 5  use the STRETCH command  To use this capability  select Structure   Stretch  in the Commands menu and the ATHENA Stretch menu  F
320. e or  several materials can be specified at a time     I IMPURITIES specify the impurities to be used for the grid adaptation  see Section 6 2 10      Standard Impurities    for the list of impurity names that can be used  e g  I   BORON   You can specify  one or several impurities at a time     I INTERST specifies that interstitials to be used for the grid adaptation   I VACANCY specifies that vacancies to be used for the grid adaptation              DISABLE specifies that the materials impurities combinations given are disabled to be effective on  mesh adapting or smoothing  Default is false     MAX ERR specifies the maximum error allowable before adding points to the mesh   unitless   Error  calculated above this value cause points to be added     MIN ERR specifies the minimum error below which points can be deleted from the mesh   unitless    Error calculated below this value will remove points  Both MAX ERR and MIN ERR are calculated using  the Bank Weiser error estimator           CONC MIN specifies the minimum impurity concentration below which adapting will stop  Units are  cm  Default is 1 0 10 4em        AREA  MIN specifies the minimum triangle area below which adding points will stop  Units are cm        Default is 1 0 10      AREA MAX specifies the maximum triangle area below which deleting points will stop  Units are  cm     Default is 1 0 10H    EDGE MIN specifies the minimum edge length below which adding points will stop  Units are cm   Default is 1 0 10      
321. e size of the initial structure and will trunc      the RATIO BOX parameter is used to trade off mesh       EPTH STR  These parameters define     MESH defined structure           F       ate the previous BAS       Figures 2 74 and 2 75  show an example of this base mesh and of the subsequent 2D diffusion     ha TonyPlot   2 5 4    File  gt   View 7  Ploty  Tools  Print     Properties    Help 7           ATHENA  After 1 D Adaption             Net Doping  m3     21       a     a   4  E     a     3     a  E    SA c ESLL L A E N SEUS EEAS EL S EE      o E O2 E 04 O05 06 OF 08 089 4  Distance along line       SILVACO International 1996                xj TonyPlot   2 5 4  Filer  View    Plot  Tools     Print    Properties 7  Help     ATHENA  Loss of Dopant Information       Ra       ARIAS             i    NAAAAAAAAN         ARE  Ae                                     FAS    bet               SILVACO International 1996  al    Figure 2 70  Mesh that is too coarse leads to Dopant Information Loss    xj TonyPlot   2 5 4    File    View    Plot 7  Tools 7 Print     Properties    Help     mem Tale  CENTEA EnEn SEBE       Fij TonyPlot V2 5 4    File 7  View    Ploty  Tools     _Printv  Properties v  Help 7                 ATHENA  After 1 D Adaption         gt  Net Doping  m3     2i    23  HAE  ra  gE  dis   HE  Be  Bpl    Eh la i T T T T T T T T T 1  0 0 4 0 2 0 3 0 8 09 aI             04 06 0 6  Distance along line     SILVACO International 1996       ATHENA  Over Refinement          04 
322. e stresses during viscous oxidation or viscous  material reflow  see Chapter 4     ELITE Models     Section 4 5     Reflow Model      The second way is to  calculate the stresses due to thin film intrinsic stress or thermal mismatch using the STRESS  statement at a certain moment of the processing sequence usually after thin film deposition or etching  or both  The third way is to follow stress history by specifying the STRESS HIST parameter in the  METHOD statement              In the cases of the second and third methods  ATHENA performs a finite element analysis of the  material structure solving the similar set of equations as in case of viscous oxidation  Equations 3 144   3 146   The only difference are the thermal expansion and intrinsic terms added to the right hand side  of Equation 3 144     T  d     POISS  R  1   VISC  E          VISC 0   exp                LCTE   INTRIN  SIG 3 245  1 2 POISS R KT i  T3    The linear coefficient of the material thermal expansion LCTE can be specified as a function of  temperature T in the MATERIAL statement  The film intrinsic stress parameter  INTRIN SIG  is  specified in the MATERIAL statement  T  and T    are initial and final temperatures           If the STRESS HIST method is specified  ATHENA then calculates stresses when the simulation  structure changes after etching  deposition  epitaxy  and diffusion processes  The temperature   including ramp  specified for current process step is used in the calculation  The room temper
323. e through matter ions interact not only with the atoms from  the lattice but also with the electrons  Figure 3 22 shows the scattering geometry of two particles in  the Laboratory Co ordinate System  In the computational model  it is assumed that ions from one  deflection point to the next move along straight line segments  these being the asymptotes of their  paths  At each collision  ion loses energy through quasielastic scattering by a lattice atom and by an  essentially separate electron energy loss part        Silvaco 3 77    ATHENA User s Manual       ion asymptote  Y 4       path of ion    r t     initial location path of      of lattice atom recoil    recon    asymptote    Figure 3 22  The trajectories of the ion  projectile  and the lattice atom  recoil      The scattering angles of the projectile and the recoil are as follows     tan 9    Afsin0  1   Afcos 6  3 218  tan 3    fsin   1   fcos 0  3 219  where   f  Jl  Q E  3 220    Q is the energy lost by electron excitation     A  M   M  is the ratio of the mass of the target  scattering  atom to that of the projectile     implanted ion    O is the barycentric scattering angle calculated as follows     o0  0   z 2pf l dr 3 221    R r g r        where       pP a AN  g r  D     B  N   T       3 78 Silvaco    SSUPREM4 Models       where   e pis the impact parameter   e E  AE 0     1  A  is the relative kinetic energy      Ey is the incident energy of the projectile   e ris interatomic separation   e V r  is the interatom
324. e to  Complete    Figure 2 68  MOSFET Device Mesh Formation Flow    The base mesh quality is important to allow a subsequent adaption in 2D  The adjacent ratio of  elements  both in 1D and 2D relate directly to the smoothness of the final mesh quality     The generation of a high quality adapted mesh starts with the BASE MESH command  Here  the 1D                mesh is defined from where the final 2D mesh will evolve  The BASE       ESH command defines a 1D       structure as a stack of up to five layers  Five layers are used to define    the five layers of a Bipolar    device  Each layer is described as having a thickness  SURF LY  ACTIVE LY EPI LY  SUB LY  and                   BACK LY and an associated mesh spacing per layer  SURF DY  ACTIVE            DY  EPI DY  SUB DY  and       BACK  DY  The whole structure can also be offset in space with the point of origin determining the top  left hand corner of the structure  The OFFSET X and OFFSET Y parameters are used for this purpose           An example of using the offset command might be defining the starting surface of an initial  structure an epi thickness below the zero position  That way  the subsequent geometrical calculations    are made easier                 Figure 2 69 indicates the relationship of the BASE MESH command to the initial 1D structure mesh        2 90    Silvaco    Tutorial                OFFSET  Y  SURFACE OF STRUCTURE  SURF LY A DY  ACTIVE LY x ACTIVE DY  EPI LY   EPI DY  SUB LY y SUB DY  BACK LY BA
325. e14  E X   X 10 mins N2 str  o      1um 10mins N2 str  1e13 E    4612 Se    UL SLs  fas   0 01 02 03 04 05 06 07 08 09 1 11    Boron Concentration  cm3                            Depth into surface  um   Loading file  home derekk dk examples OXIDE 1 um   10mins   N2 str    OK    SILVACO International 1996          Figure 2 27  Effect on boron diffusion profile when too small a substrate depth is used in the simulation    Figure 2 27 shows the boron profiles for two identical anneals  the only difference is the depth of the  simulated substrate  You   ll see that a shallow modeled substrate always results in more total diffusion   even though the substrate depth was greater than the total diffusion depth in both cases     Modeling a deep substrate doesn   t need to involve a huge number of extra mesh points  since the mesh  points can be placed quite far apart near the bottom of the substrate  All that is required of the mesh  points near the bottom of the substrate is that there be sufficient to model the gradient of interstitials  in this region  The number of additional mesh points can be further reduced in the X direction by the  using several RELAX statements        For normal small geometry MOSFET Bipolar processing  a substrate depth of 20 um should be more  than adequate  This depth can be reduced by plotting the vertical interstitial profiles at various points  in the process to find the maximum depth of interstitial diffusion  There is little to be gained by  reducin
326. ead any of the popular C  language books such as  119   Additional information about the C Interpreter can be found in the  SILVACO C INTERPRETER USER   S MANUAL     The function arguments of the C Interpreter functions are fixed in ATHENA  Thus  you need to make  sure that the arguments and return values match those expected by ATHENA  To help you  a set of  templates for functions available for the current release of ATHENA can be obtained by typing        athena  T filenam    The filename is the name of the file where you want the template to be copied  You can also obtain  the C Interpreter templates by selecting Commands   Templates    in DECKBUILD  The following  example shows how to use the C Interpreter function get_damage_values to modify the default Plus  One Model  See Chapter 3     SSUPREM4 Models     for interstitials generated during ion implantation     A 2  Example        Template for the C Interpreter function for defect formation  during ion implantation  y  void get_damage_values        input parameters     int imp     impurity index  As   2  P   3  Sb   4  B   5  etc           int mater     material index  Si   3     double x     x coordinate in micron    double y     y coordinate in microns       le  double implanted_conc    implanted concentration in 1 cm  3     double implanted_dam    accumulated damage in eV cm  3     do not use without Monte Carlo BCA        return parameters                   double  I_val     Interstitial concentration     double  V
327. eae E a EEEE hea ea eee hed a eens Bae dt D 8  D 6 3  Miscellaneous Features and Bug FixeS            2 00  e cece cece eee eee eee eee D 8  D 7  ATHENA Version 5 4 0 R Release NoteS        00  cece eee e cece e eee eee eee e ene D 8  DAESSURREM Renate eee earns tir Pen Ene Oat a aes tie niece eae Berar ery ene tee E etre D 8       xX Silvaco    Table of Contents       7A PASC apa DES 2 5  ise at hh alate here nal ol ah he ge INU Ut el oh te a ot D 9  D7 SeOPTGLITH Capabilities 2X5  tee cook reat ee ead eh ee net cade ad ee en er D 9  Divas ELITE Capabilities  na rrsan st actin aE Atal Awe E cot wha aR Late SA hao eats D 9  D 7 5  Miscellaneous Features and Bug FixeS   2c s et sade eed eee ee eed eed eee ede D 9  D 8  ATHENA Version 5 2 0 R Release NoteS         cccceee eee eet eee eee e eee eens D 9  D 8 1  lon Implant BCA Model  i  3 2c 24e0 beedebeads beady wee biwe nl pelted el aeteheedse cede D 9  D 8 2  Miscellaneous Features and Bug Fixes             0 00 cece eee eens D 10  D 9  ATHENA Version 4 5 0 R Release NoteS        0  ccc ee eee cere e eee eee eee e eee eeeeeee D 10  D 9 1SSUPREM4  i krisar wise ts wine ie T Waar E iE umn E e EA t duet ate whats t D 10  D 9 2  ELITE    Capabilities    nxiiseo bees dvevay aia wed EEEE E E E EAE EEE D 11  D 9 3  Generic ATHENA Capabilities   2a  902 canes ananuna aaeeea D 11  D 10  ATHENA Version 4 0 0 R Release Notes    n u auuuuunnannnnnnnnnnnnnnrnunnnnnnrnnenrnrnrnnn D 11  DOSS SOU RMON oc onettek en Yt cued eine  nna E ae e N
328. easured distribution moments  The statistical technique uses the physically based  Monte Carlo calculation of ion trajectories to calculate the final distribution of stopped particles     3 5 1  Analytic Implant Models    ATHENA uses spatial moments to calculate ion implantation distributions  This calculation method is  based on range concepts from    Range Concepts and Heavy Ion Ranges     45  in which an ion   implantation profile is constructed from a previously prepared  calculated or measured  set of  moments  A 2D distribution could be essentially considered a convolution of a longitudinal  along the  implant direction  1D distribution and a transverse  perpendicular to implant direction  1D   distribution     In the rest of this section  we will first describe three 1D implant models and the method used to  calculate 1D profiles in multi layered structures  Then  two models of transverse  lateral  distribution  and a method of construction of 2D implant profiles will be outlined  Finally  three methods of implant  parameter specification will be described     Gaussian Implant Model    There are several ways to construct 1D profiles  The simplest way is using the Gaussian distribution   which is specified by the GAUSS parameter in the IMPLANT statement     2    x R    apa 3 178    an are 2  MAK LIAR        where   is the ion dose per square centimeter specified by the DOSE parameter  R  is the projected  range  AR  is the projected range straggling or standard deviatio
329. eater than 900   and the device has been    annealed for at least one minute  following an implant where the dose is greater than 1e13 cm2     For a more accurate guideline  see the Chapter 3     RTA Diffusion Modelling     Table 3 6 shows the  anneal temperature time combinations required for 95  of the clusters formed during high dose  implants to dissolve  Modeling these dopant defect clusters requires the fully coupled  full cp1  and  cluster damage  cluster dam  models  Only when these clusters have dissolved can the two dim  model be used without significant loss of simulation accuracy  As a general rule  we recommend that  the method statement be changed to method two dim only after a diffusion time that is at least two  or three times as long as the values quoted in the table        Silvaco 2 31    ATHENA User s Manual       If you wish to be certain of when it   s safe to switch models  the recommended procedure is to save a  structure file at the point of interest  load the file into ToNyPLoT and perform a 1D cutline  Plot the  clusters and interstitials  If the cluster concentration is still visible  it   s too early to switch models     For power devices  where simulation time is at a premium  the same method already described should  be used  But instead of using the cluster concentration as a guide of when to switch models  the  interstitial concentration should be used as the guide as to when to switch models one more time from  the TWO DIM model to the basic F
330. ecifies the depth where the nominal spacing will be applied  YDY is calculated relative to the  top of the newly deposited layer  Units are microns     MIN DY specifies the minimum spacing in microns allowed between grid lines in the y direction in the  new material  The default is 0 001 microns  10 Angstroms         Silvaco 6 21    DEPOSIT ATHENA User s Manual       MIN SPACE specifies a minimum spacing between points on the surface of each sub layer  Increasing  this parameter will reduce the number of points on arced deposited surfaces  Units are microns   ARC SPACE is asynonym for this parameter        Parameters Specific to Depositing Doped Layers    C IMPURITIES specify the concentration of the impurity in the deposited layer in cm  You can  specify more than one of these parameters to define materials doped with multiple impurities        F IMPURITIES can only be specified together with the corresponding C  IMPURITY  e g   F   BORON  and C BORON   This parameter generates the linearly graded concentration of the specified impurity    in the deposited layer  where C  IMPURITY specifies concentration at the bottom of the layer and    F   IMPURITY specifies concentration at the top of the layer  Units are cm                                      C INTERST specifies the concentration of interstitials in deposited layer  Units are cm        F INTERST can only be specified together with C  INTERST  This parameter generates the linearly  graded interstitial concentration in th
331. ect is illuminated by an element dx     dzo of the effective source at  xo  Zg  with its amplitude    proportional to   y x    Zo   the object spectrum a x z  is then shifted by a corresponding amount  In this  instance  the complex amplitude distribution on the entrance pupil sphere of the objective is    Jo Z0   a x  X0 Z  Zo  5 12  The complex amplitude on the exit pupil reference sphere at E    will be given by    a x Z    JN Xp Zo   a x  Xg Z Z  fx  z  5 13    In this equation  f x z  denotes the pupil function of the optical system  If the system has an annular  aperture  where the central circular obstruction has the fractional radius e  the pupil function has the  form     Vay aa  0 xX  Z  lt E   f   z    t x  Zz   exp i  k   W x z   x  z  lt l saa  I x  z a l       5 4 Silvaco    OPTOLITH Models       t x y  is the pupil transmission  which is usually set to one  and W x z  denotes the wave front  aberration  For an entirely circular aperture  s becomes zero  Note that the approach taken here is  somewhat similar to the one used in the investigations on phase contrast microscopy  105      The function W x z  gives the optical path difference between the real wave front and the exit pupil  reference sphere  Commonly  the wave front aberration is expanded into a power series  103   giving    2 2 2n      Waz    Y Wyma  E   ert 20   z  5 15  Lm n   for a particular position  x z  in the exit pupil  y and     denote the fractional coordinates of the image    field  The va
332. ections the  right most and left most will be taken  These conditions are only true if gas is specified  If gas is not  specified it returns the x intersection for y in the same manner as MATI MAT2 X     A bug in the RELAX capability has been repaired  This makes RELAX function more completely  and makes it remove triangles for cases where they were left in the past     D 16  SSUPREM4 Version 6 0    e Version 6 0 of SSUPREM4 incorporates a number of new models as well as convenience features   SSUPREM4 now includes the first available two dimensional silicide model  The DEPOSIT  ETCH  and model statements now include materials TUNGSTEN  TITANIUM  PLATINUM  WSIX  TISIX   and PTSIX  Silicidation can also be performed using user defined materials for other metal systems     e The silicide model parameters can be specified in a number of model statements and in the  METHOD statement     e DEPOSIT  EPITAXY  and DIFFUSION now allow specification of multiple impurities  The multiple  impurity deposition capability is exhibited in an example of BPSG type material     e The DIFFUSION statement now allows simultaneous oxide growth and impurity predeposition   This allows physically based modeling of processes such POCL deposition     e One remaining area of concern for modeling such processes is that impurity diffusion in highly  doped oxide type materials such as BPSG or PSG will tend to be faster  The impurity diffusion coef   ficient must typically be adjusted in order to model such
333. ed as a function of temperature and time for lightly doped substrates annealed at  atmospheric pressure with no chlorine content in the ambient  The parameters in Equation 3 167 are  specified for the appropriate oxidant species using the OXIDE statement  The pressure dependence and  chlorine dependence are described in the following sections        Pressure Dependence    The effects of pressure on the kinetics of the silicon oxidation process have been studied by Razouk et  al   36  for pyrogenic steam and Lie et al   39  for dry oxygen  The parabolic rate varies with pressure    because of its dependence on the oxidant equilibrium concentration in the oxide  C     which is directly  proportional to the partial pressure of the oxidizing gas  The following relation is used to model this  dependency     P PDEP    Bp  P 3 168       Here  P is the partial pressure of the oxidizing gas in atmospheres and P PDEP is specified on the  OXIDE statement        See Figure 3 13 for a plot of SiO   thickness as a function of time and pressure     Chlorine Dependence    It has been observed that additions of chlorine during thermal oxidation also affect the parabolic rate  constant  One possible explanation is that as chlorine enters the oxide film  it tends to cause the SiO     lattice to become strained  which increases the oxidant diffusivity  35      Chlorine concentration dependence on the parabolic oxidation rate is modeled in a similar manner to  that of the linear rate constant  Gi
334. ed for oxidations on lightly doped substrates annealed at  atmospheric pressure with no chlorine content in the ambient  The parameters appearing in Equation  3 157 are specified in the OXIDE statement  The remaining factors in Equation 3 156 are described in  the following sections           Silvaco 3 51    ATHENA User s Manual       Orientation Dependence    The silicon substrate orientation is known to affect the oxidation kinetics  84  35   The influence of  orientation on the linear rate constant is modeled as  B A     in Equation 3 156  The orientation  dependencies for  lt 100 gt  and  lt 110 gt  orientations are modeled by appropriate reduction factors  and   B A  ri for  lt 111 gt  substrates is unity     Figure 3 12 shows the silicon dioxide thickness dependence as a function of the substrate orientation  for several oxidation temperatures          TonyPlot   2 6 9 r    Files  View     Plot  Tools  gt   Print     Properties v  Help               X   11 ls Silicon  O   S  lt 110 Silicon         lt 100 Silicon    Silicon Dioxide Thickness   Angstroms         Oxidation Time   Minutes       SILVACO International 1996      Figure 3 12  Silicon Dioxide Thickness versus Time for Different Substrate Orientations and Temperatures       3 52 Silvaco    SSUPREM4 Models       Pressure Dependence    High pressure silicon oxidation allows one to grow relatively thick SiO   films while keeping the  temperature low so that dopant redistribution is reduced  36   The pressure depende
335. ed implants  It is applicable  to a wide energy range  from  1 keV to few MeV   It includes damage accumulation model  which allows accurate simulation of dose dependency effect    Several improvements are made in analytical implant models    Improved handling of wrong user defined or tabulated combinations of skewness and kurtosis for  longitudinal profiles  The values are corrected to provide legitimate bell shaped profiles  The cor   rected values could be checked by using the parameter  PRINT MOM  in the IMPLANT statement        Calculations of cluster and dislocation bands from implant profiles  parameters  MIN CLUSTER   MAX  CLUSTER  MIN LOOP and MAX LOOP  are fixed and available for both analytical and Monte  Carlo methods     A new parameter  FULL DOSE   has been added  If it is set to TRUE the adjusted full dose for the  angled implant will be applied              A more accurate integration of non Gaussian lateral distribution functions is implemeted    User specified models for implant damage   lt  311  gt  clusters and dislocation loops can be controlled  through a C Interpreter file  The name of the file is specified in the parameter  DAMAGEMOD   FN in  the MOMENTS statement           Diffusion Simulation Features    A new numerical scheme for diffusion calculations  the Implicit Linear Finite Element Method   ILFEM   is implemented  The ILFEM uses a new internal data structure  an advanced spatial  discretization scheme  an extremely fast and robust linear solve
336. ed with the input file   structure files  setfiles for TONYPLOT  and layout files for MAsKVIEWS   will be copied into your current  directory        9 9 Silvaco    Tutorial       Once the input file is in the Deckbuild Text Subwindow  bottom panel of the window   press the Run  button in the Main Deckbuild window or follow the special instructions in the Deckbuild Examples  Window to run the input file     Most of the ATHENA examples contain preset calls to the graphical postprocessing tool TONYPLoT  One  or more plots will appear while the selected example is running     If you are not familiar with DECKBUILD  use a simple example to learn the basic DECKBUILD features and  capabilities  For more information  see the VWF INTERACTIVE TOOLS USER   S MANUAL  VOL  I  This will  assist you in working through the rest of the tutorial  The details of these functions are described in  the VWF INTERACTIVE TOOLS USER   S MANUAL  VOL  I     Deckbuild  Examples       Return to index    17 LASER   Laser Diode Application Examples   16 THERMAL   Thermal Distribution Application Examples   19 SEU   Single Event Upset Application Examples   20 DIODE   Diode Application Examples   21 INTERCONNECT   Interconnect Parasitics Application Examples  22 ATHENA _SSUPREMA4   2 D Process Simulation   23 ATHEMA_ELITE   2 D Topography Simulation    24 4ATHENA_OPTOLITH   Optical Lithography Simulation    25 ATHENA FLASH   Compound Semiconductor Process Simulation Exampl      26 ATHENA CALIBRATION   Proces
337. ee the previous section  Figure 2 37   however  also has an effect on the base current in the low injection region     For a small range of implant doses around the optimum  the base doping concentration will also affect  the position of the knee or the rate or both of fall off of the base current in the low injection operating  region of the device  This is most noticeable as a loss of current gain in the low injection region for the  alternative standard plot of current gain versus collector current  An increase in the base implant  reduces the intrinsic resistance and typically increases the base current in the low injection region   resulting in a decrease in current gain for very low currents     A similar effect to increasing the base doping is observed if the base doping is kept constant but the  overall doping is reduced in the mono crystalline silicon region of the emitter  You can tune the doping  profile in the mono crystalline region of the emitter using three parameters in ATHENA  The main  physical effect of these ATHENA parameters is to change the doping profile of the emitter in the mono   crystalline silicon  These process parameters are as follows     e The total interstitial concentration in the poly emitter   e The dopant segregation effects in the poly emitter   e The dopant velocity across the silicon polysilicon boundary     The first process parameter will affect how quickly the dopant in an implanted poly emitter reaches  the silicon polysilicon bound
338. efined Materials    for the list of materials   Default is SILICON              I IMPURITY specifies an impurities to be used for the dislocation loop scaling  see Section 6 2 10      Standard Impurities    for the list of impurity names which can be used  e g  I   BORON      MIN LOOP CO and MAX LOOP CO define the upper and lower bounds of the dopant concentrations  where the loops are placed              Dislocation Loop Generation Example    The following example switches on the loop model and then places loops in the position where indium  concentrations lie between 1e16 and 1e15 cm   METHOD I LOOP SINK    DISLOC LOOP MIN LOOP CO le15 MAX LOOP CO le1l6 I INDIUM SILICON  IMPLANT INDIUM DOSE 1e15 ENERGY 45                                                    For more examples  see METHOD  CLUSTER  INTERSTI       HW    IAL  VACANCY  DIFFUSE  and IMPLANT              6 28 Silvaco    ELECTRODE       6 17  ELECTRODE     ECTRODE defines electrodes and names for ATLAS or other device simulation         7   re                Syntax       ELECTRODE                   NAME  lt c gt   X  lt n gt    Y  lt n gt    BACKSIDE   LEFT   RIGHT                 Description  This statement defines a whole material region as an electrode   NAME gives a name to the electrode that can be plotted or referenced in TONYPLOT or ATLAS   X specifies the horizontal location or x coordinate of the region  which will be defined as an electrode     Y specifies the vertical location or y coordinate of the electr
339. egions to be adapted on  This may be one or several  materials at a time  The default materials include SILICON  OXIDE  POLYSILICON ete     e Boolean I BORON  ILARSENIC      specify impurities to be adapted on  This may be one or several  impurities at a time  The available impurities include ILBORON  ILARSENIC  ILPHOSPHORUS   I ANTIMONY  I INTERST  I VACANCY etc        e Boolean DISABLE specifies that materials impurities combinations given are disabled to be effec   tive on mesh adapting or smoothing     e Float MAX ERR specifies the maximum error allowable before adding points to the mesh   unitless    Error calculated above this value causes points to be added     e Float MIN ERR specifies the minimum error below which points may be deleted from the mesh    unitless   Error calculated below this value causes points to be removed  Both MAX ERR and  MIN ERR are calculated using the Bank Weiser error estimator which is defined as     2V  Ci    ERE T       D 1    where h is the average of the edge lengths associated with node i  Ci is the impurity concentration at  node i     e Float CONC MIN specifies the minimum impurity concentration below which adapting will stop    units 1 0 cm3      e Float AREA MIN specifies the minimum triangle area below which adding points will stop   units  cm2      e Float AREA MAX specifies the maximum triangle area below which deleting points will stop   units  cm2      e Float EDGE MIN specifies the minimum edge length below which adding points
340. el includes dynamic processes of the transformation from crystalline to amorphous  state as ion implantation proceeds  Each pseudo projectile in the simulation represents a portion of the  real dose     where N is the number of projectiles     aoa 2 3 235  N    The deposited energy is accounted for each grid point of the target and accumulated with the number  of projectiles  As the implantation proceeds  deposited energy increases and the crystalline structure  gradually turns into an amorphous structure  This is quantified by the Amorphization Probability  Function as follows     feat  ap 5e  3 236    Cc    Here  AE r  is the energy deposited per unit volume at the grid point r  and Eis the critical energy    density  which represents the deposition energy per unit volume needed to amorphize the structure in  the relevant volume        Silvaco 3 81    ATHENA User s Manual       It is defined as     3 237    E  T  oy    E T    Eu   elre  B  00    where    is activation energy  k   is Boltzmann s constant  and T is the temperature at and above    which the infinite dose is required for crystalline to amorphous transition     Some experimental values for E  E      are given by F  L Vook  65   In the BCA module  the value    fr  0 6 corresponds to a fully amorphized state and any additional energy deposited at point r does  not contribute to the amorphization process     Implantation Geometry    Figure 3 23 shows the orientation of the ion beam  relative to the crystallographic
341. ellaneous Features and Bug Fixes    1     10     11     12   13   14   15   16   17     18     It is now possible to use clust trans model when impurities other than B  P  As  and Sb present in  the structure  Also  the model can be used in Polysilicon     Solid solubility tables are extended down to T   600C  Also  solid solubility in polysilicon is set  equal to that in silicon     Added a NEUTRAL type impurity as an alternative to DONOR ACCEPTOR in the IMPURITY  statement  For example  I SILICON is considering as DONOR in GaAs but should be NEUTRAL in Si   As the result  Si atoms implanted in order to preamorphized silicon crystal would not affect  diffusion of other impurities and will not contribute into the net concentration           New impurities Nitrogen and Oxygen are added to all relevant statements  Also  impurity Fluorine  is now available in all statements     Standard material GERMANIUM is added     Fixed a bug in initial gridding  The fix makes sure that the distances betweem vertical grid lines  remain constant if the SPACING parameters are equal at the adjacent LINE statements           Add several aliases to command names to achieve better syntax compatibility with TSUPREM4   DIFFUSION  for DIFFUSE   LOADFILE  for INITIALIZE from a structure file   SAVEFILE  for  STRUCTURE  and AMBIENT  for OXIDE      Made SILICON to be a default material in the STRETCH statement   Removed obsolete parameters LABEL and TITLE from the PRINT 1D statement                     
342. els these parameters control whether the crystalline lattice  structure is considered or not        Parameters Applicable for All Implant Models    IMPURITY specifies the impurity to be implanted  see Section 6 2 10     Standard Impurities    for the  list of impurities   BF2 is also available     ENERGY specifies the implant energy in keV     DOSE specifies the dose of the implant  Dose is calculated in a plane normal to the implant direction     The units are in cm        FULL DOSE specifies that the implanted dose is adjusted to compensate for the tilt angle  This type  of dose specification is often used for high tilt implants     Adjusted Dose   DOSE cos  TILT           Silvaco 6 45    IMPLANT ATHENA User s Manual       TILT specifies the tilt with respect to the vertical of the implantation ion beam  The units are degrees   The default is 7       ROTATION specifies the angle of rotation of the implant relative to the plane of the simulation  The  units are degrees The default is 30       FULLROTATION specifies that the implant be performed at all rotation angles     PLUS ONE  synonyms are UNIT DAMAGE and D PLUS   and DAM FACTOR  synonym is  D SCALE  specify the implant damage calculation  UNIT   DAMAGE specifies that the interstitial profile  should be a scaled version of the doping profile from the implant  DAM FACTOR specifies the scaling  factor to be used for the UNIT DAMAGE model  At a depth  the interstitial concentration from the  UNIT  DAMAGE model will equal to
343. ent calculations in amorphous silicon as in  58     59   The auxiliary file wserimp in the  lt install gt  lib athena  lt version gt  common directory provides a  template for specifying implant parameters in the format of standard tables  The second type of look   up tables are SVDP tables described in the    Dual Pearson Model    on page 3 68  The format of these  tables is much more flexible than the format of the standard tables  It also allows parameters for  lateral distribution to be added easily     The SVDP tables are used by default  If no moments are found  ATHENA will search through standard  tables  If it cannot find parameters for a specified energy ion material combination  a warning message  is issued  which will tell you a very small projected range and straggling will be used in simulation for  this combination  The message will also suggest that you use the Monte Carlo method in order to find  the right moments  This is the sequence of ATHENA actions in the case when no MOMENTS statement  precede the current IMPLANT statement  The MOMENTS statement serves to control the moment  parameters tables to be used in subsequent IMPLANT statements  If you specify the STD_TABLES  parameter  ATHENA will skip searching through SVDP tables and proceed directly to the standard  tables  If you specify the USER_STDT or USER_SVDPT parameter  then the user defined file specified  with the USER  TABLE  lt filename gt  parameter will be used as the first choice  Of course  if 
344. ent descriptions     Gas flow specification   Gas flow can now be explicitly specified during diffusion calculations   This functionality supports the use of mixed ambients and is described in the DIFFUSION state   ment description     RELAX statement added for improved gridding   A new statement  RELAX  has been added to  allow the removal of excess grid points at any time during the simulation  This greatly enhances  efficiency by allowing free manipulation of the grid     Improved MaskViews interface   The interface to MASKVIEWS now can be invoked interactively  during SSUPREM4 simulation  This interface has also been improved to provide for automatic grid  generation that is tied to layout information  This interface and capability are demonstrated in the  first standard example     ELECTRODE statement   The name and position of electrodes in a SSUPREM4 structure can now  be defined using the ELECTRODE statement  This information is incorporated in the MASTER  structure file format and can be read transparently by SPISCES 2B     D 20  Additional SSUPREM4 Changes  D 20 1  Oxidation method defaults to compress  e The HCL PC parameter has been added to the diffusion statement to allow the inclusion of HCl     e The readability of the online help facility has been improved  and additional comments have been  added     e These can be accessed by specifying HELP or HELP  lt statement name gt  in interactive mode   e The initial HELP statement list has been alphabetized     e Th
345. ent out all existing line statements and  will automatically run line statements generated by MASKVIEWS  For example  the following output  will appear in the DECKBUILD Text Subwindow if the default sec 1 generated for the CMOS  Inverter is loaded                                                                                                        ATHENA gt  LINE X LOC 0 000 SPAC 0 100 TAG LEFT  ATHENA gt  LINE X LOC 0 300 SPAC 0 100   ATHENA gt  LINE X LOC 0 500 SPAC 0 100   ATHENA gt  LINE X LOC 0 600 SPAC 0 100   ATHENA gt  LINE X LOC 0 800 SPAC 0 050   ATHENA gt  LINE X LOC 1 100 SPAC 0 150   ATHENA gt  LINE X LOC 1 500 SPAC 0 150   ATHENA gt  LINE X LOC 1 800 SPAC 0 050   ATHENA gt  LINE X LOC 2 000 SPAC 0 100   ATHENA gt  LINE X LOC 2 100 SPAC 0 100   ATHENA gt  LINE X LOC 2 300 SPAC 0 100   ATHENA gt  LINE X LOC 2 600 SPAC 0 100 TAG RIGHT  ATHENA gt  LINE Y LOC 0 00 SPAC 0 03 TAG TOP  ATHENA gt  LINE Y LOC 0 20 SPAC 0 02   ATHENA gt  LINE Y LOC 1 00 SPAC 0 10 TAG BOTTOM                                           2 70 Silvaco    Tutorial       Using MaskViews for Generating Masks in ATHENA    The dry etching capability of ATHENA and the physical etching capability of ATHENA ELITE can be  used in conjunction with the mask generating capability provided by DECKBUILD and MASKVIEws  A  cutline loaded into DECKBUILD has information on the x location of the photomask edges  You should  specify the sequence of mask creation and stripping steps in the ATHENA input file  This ca
346. entration independent and therefore only the first term of this  equation is non zero for these impurities  It appears that diffusion of Ge in GaAs is proportional to the  second power of  n n          The diffusivity for acceptors is the following               2  Dy H Dit t Day  2  3 240    acceptor n     l  Different terms are dominant for different acceptors  Carbon diffusivity is considered as concentration  independent  Be and Mg diffusivities are proportional to p n   while diffusivity of Zn is proportional to     p n      It   s important to know that some dopants in compound semiconductors are amphoteric and can be    either donor or acceptors under certain conditions  This means  you can use the DONOR and ACCEPTOR  parameters in the IMPURITY statement to specify the type of dopant        Boundary and interface condition for impurities in compound semiconductors are specified using the  transport velocity parameters TRN  0 and TRN E and the segregation coefficients SEG  0 and SEG  E                       The impurity activation in compound semiconductors is calculated using solid solubility model with  default value for solid solubility limit for all impurities set at 101    cm        Silvaco 3 93    ATHENA User s Manual       3 8 2  Implantation Models    Ion implantation models for compound semiconductors are essentially the same as those for silicon   The Pearson analytical approximation uses look up tables derived from experiments  79  and  calculations  59   The
347. ep  This allows the mesh to conform to the  dopant after a time step     The difference between the dopant contours and the change in the mesh density distribution will thus  be limited to the difference of dopant profiles between time steps  This difference is substantially  smaller than that over total diffusion time  Thus  mesh adaption can allow more accuracy and  minimize the mesh density for the dopant representation at any given time     A Simple Example     GO ATHENA   LINE X LOC 0 00 SPAC 0 1   LINE X LOC 2 00 SPAC 0 1   LINE X LOC 0 00 SPAC 0 1   INIT SILICON C  ARSENIC 10E14  DIFE IME 50 TEMP 950 DRYO2  DEPOSIT POLY LEFT PL X 1 2                                        CH POLY LEFT PL X 1 2  RUCT OUTF MOS_0 STR  ERFORM ADAPTIVE MESHING FOR BOTH IMPLANT AND DIFFUSION       Ti                                e u  W          U  E   D  Z  w  Q  prel  O  Z    DOSE 1 0E13 ENGERY 15 PERSON TILT 0  UCT OUTF MOS_1 STR   OSIT OXIDE THICK  35 DIV 6   H OXIDE THICK  35   LANT BORON DOSE 1 0E14 ENGERY 15 PERSON TILT 0  OS_2 STR  EMP 1000 TIME 30  F MOS_3 STR                      HO WH  ie Ss             0 QU wt    NOUONHE   ot   C   Q   Q   G   Hy   ll                                                                            BS   C   Q  E  CH       QUIT                            LISTING 1  A SIMPLE EXAMPLE OF IMPLANT ADAPTIVE MESHING       This simple example creates a LDD MOS device structure  The initial simple mesh is specified with the  four LINE statements  This initial me
348. ependencies  62   The local inelastic  energy losses are based on the model proposed by Firsov  63   In this model  the estimation of the  electronic energy loss per collision is based on an assumption of a quasi classical picture of the  electrons  i e   the average energy of excitation of electron shells  and electron distribution and motion  according to the Thomas Fermi model of the atom      In this quasi classical picture  the transfer of energy  AE   from the ion  to the atom  is due to the  passage of electrons from one particle to the other  Thus  resulting in a change of the momentum of the  ion  proportional to its velocity  v   and a rising of a retarding force acting on the ion   When ions move  away from the atom  despite being trapped by ions  electrons will return to the atom  There is no    transfer of momentum calculated back  because the electrons fail in higher energy levels  The energy  loss in the Firsov s Model is calculated as follows     5 3  05973 x  Z        ap   0205973 X  Z    Z3  E M oy AR   1  0 31 Z    Z     R   where     e Rp is their distance of closest approach in A   which is approximately equal to the impact  parameter in case of small angle collisions   e E is the energy of the moving atom  the ion  in eV        M  is its mass in a m u     In a binary collision  the scattering angles are affected by the inelastic energy loss AE  see Equation 3   228  through the parameter f     The non local electronic energy losses are based on the model
349. ependent Viscous Model    3 3 4  Linear Rate Constant    For short oxidation times and low oxidation temperatures the oxide growth is linearly related to the  oxidation time  The interface processes  oxidant transport across the gas SiO    interface and oxidant  reaction at the Si SiO  interface  are the determining factor in describing the growth kinetics  In this    regime  the oxide thickness can be approximated as    si a  3 153    where  B A  is called the linear rate constant and is obtained by dividing Equation 3 135 and Equation    3 134  resulting in the following equation      7    c t    1  3 154  1    The equilibrium oxidant concentration in the oxide  C     is defined by Equation 3 128  K in Equation 3   128 is specified by the HENRY   COEF parameter in the OXIDE statement  The gas phase mass transport    coefficient  h  is given by the following Arrhenius relation        h   TRN O  exp  TENE     k  T    3 155       3 50 Silvaco    SSUPREM4 Models          where the TRN 0 and TRN E parameters are specified in the OXIDE statement  The interface reaction  rate constant  k  is determined from Equation 3 154 and experimentally determined values of  B A         The linear rate constant is composed of several dependencies including orientation  pressure  chlorine  additions  and doping effects     9 00  O82 sr os   B A   is given by   LIN L  derp  HILL T  lt L BREAK  G _    3 157     LINH  Desp  LIN H E   T gt  L BREAK   kT    which is the linear rate constant determin
350. er the  loop        2 84 Silvaco    Tutorial       To generate SMILE plots  focus exposure latitude curves   you need to require a double loop  The input  language used for a typical double loop is shown below        PRINTF ATHENA  gt  SMILE  PRINTF 24 3 3  gt  SMILE  PRINTF DEFOCUS  gt  SMILE  PRINTF CDS  gt  SMILE  PRINTF DOSE  gt  SMILE  F  F   I  I                                                 OREACH I  200 TO 300 STEP 25    OREACH J   1 5 TO 1 5 STEP 0 5    NITIALIZE INFILE ANOPEX12 STR1   AGE DEFOCUS J WIN X LO  5 WIN X HI  5 WIN Z LO 0 WIN Z HI 0    CLEAR                                                                                                             EXPOSE DOSE I   BAKE DIFF  LENGTH 0 05   STRUCT OUTFILE ANOPEX12 J 1 STR   DEVELOP MACK TIME 45 STEPS 5 SUBSTEPS 10  STRUCTURE OUTFILE ANOPEX12 J I STR3   PRINTF J  ZZZ GAS 1 4    GASS ZZZ 1 4   I  gt  SMILE  END             In this smile plot example  exposure DOSE is varied in the outer loop and DEFOCUS is varied in the  inner loop     The output is written to a file called SMILE  The difference between the smile plot and the swing plot is  that smile plots must distinguish between several types of data  To do so  a third column called Group  is added  see the ToNYPLoT chapter in the VWF INTERACTIVE TOOLS USER   S MANUAL  VOL I   The final  PRINTF statement prints DEFOCUS  J   CDs  and DOSE  I   To display the plot  outside of  DECKBUILD  enter the tonyplot  da SMILE command and the plot will appear  In the 
351. er transform plane     CIRCLE  SQUARE  GAUSSIAN  and ANTIGAUSS defines or changes the shape of the exit pupil of  the projection system  The shape of the pupil must be entered as a character string     GAMMA defines or changes the GAMMA value for GAUSSIAN and ANTIGAUSS pupil transmittance   GAMMA is a parameter that defines the truncation of the GAUSSIAN by the pupil  In the limit of  GAMMA  gt 0  the pupil transmittance will be uniform     IN RADIUS and OUT RADIUS defines or changes the intensity transmittance and phase  transmittance of an annular zone inside the exit pupil or either the illumination or the projection  system  This qualifier is used to simulate spatial filtering techniques  IN RADIUS and OUT RADIUS  are used to define an annular zone in the exit pupil having the pupil transmittance equal to TRANSMIT  and producing the phase angle equal to PHASE  Radius values are specified in fractions of unity and  phase is specified in degrees  Note that the annular zones should not overlap  The outer radius of an  inner zone must be smaller than the inner radius of an outer zone  The shape of the annular zone is  specified by the shape parameter above  The maximum radius is one     PHASE specifies the phase shift in degrees produced by the pupil filter 180    lt  PHASE  lt 180      TRANSMIT specifies the pupil transmittance caused by the pupil filter   CLEAR FIL resets the projection filter list           Examples    This set of commands defines a square aperture in the 
352. eraction with a  free interstitial according to the following reactions     0 0 ks 0 0 Ks i  Pr P ol     Ip   f  gt  R  te DAT E Da Sog  ky k k       Silvaco 3 31    ATHENA User s Manual       where according to  19      I  B Agn r_ Dy Ey     EKn   K    4A0RyDyexp   Ee   no o  exp  5    E  3 110       Here  Ref represents the effective capture radius  The elementary jump length    is equal to the    inter atomic distance ag 2 35  A  0  is the number of dissociating sites  E  is the self interstitial    formation energy defined in Equation 3 76  and En  is the formation energy per interstitial for  clusters of size n  The number of reactions taken into account is specified in the ic mod file     The value of effective energy barrier Ag  in Equation 3 110 can be represented as the sum of two  components     tot    Ag    max  byt       Eoo    3 111  I    eq       The first of the two terms represents the change in free energy associated to the change in chemical  potential when an interstitial jumps from the supersaturated phase to the cluster  The second term is  the formation energy per interstitials  This parameter is a function of the size and of the  crystallographic structure of the cluster  The values of the formation energy per interstitial are  specified in the ic mod file  The first nine parameters corresponding to the formation energy per  interstitial for clusters of size 2 through size 10 are defined in  20   For larger clusters  the energy of  formation per interst
353. erial   But for structures containing many non planar layers  material regions  and for the cases   which have not been studied yet experimentally requires more sophisticated simulation models  The  most flexible and universal approach to simulate ion implantation in non standard conditions is the       3 76 Silvaco    SSUPREM4 Models       Monte Carlo Technique  This approach allows calculation of implantation profiles in an arbitrary  structure with accuracy comparable to the accuracy of analytical models for a single layer structure     ATHENA contains two models for Monte Carlo simulation of ion implantation  Amorphous Material  Model and Crystalline Material Model  Both of them are based on the Binary Collision Approximation   BCA  and apply different approximations to the material structure and ion propagation through it     Nature of the Physical problem    A beam of fast ions  energy range  approximately 50 eV amu to 100 keV amu  entering crystalline or  amorphous solid is slowed down and scattered due to nuclear collisions and electronic interaction   Along its path  an individual projectile may create fast recoil atoms that can initiate collision cascades  of moving target atoms  These can either leave the surface  be sputtered  or deposited on a site  different from their original one  Together with the projectiles being deposited in the substrate  this  results in local compositional changes  damage creation and finally amorphization of the target   Depending on t
354. erial is included within th  mesh  If you do not include REGION statement between the LINE statement and the INITIALIZI  statement  you can define the material on the INITIALIZE statement         amp  FI                      Gl       MATERIAL specifies the material in a region  see Section 6 2 9     Standard and User Defined  Materials    for the list of materials      XLO  YLO  XHI  and YHI specifies the bounds of the region rectangle  The value  lt string gt  should  be one of the tags created in a preceding LINE statement        Examples          The following REGION statement specifies silicon as the material for the entire mesh                                            LINE X LOC 0 SPA 1 TAG LEFT  LINE X LOC 1 SPA 0 1   LINE X LOC 2 SPA 1 TAG RIGHT  LINE Y LOC 0 SPA 0 02 TAG SURF  LINE Y LOC 1 SPA 0 1 TAG BACK                REGION SILICON XLO LEFT XHI RIGHT YLO SURF YHI BACK  INIT             Note  If you do not use REGION statement and no material appears on the INIT statement  then ATHENA assumes Silicon  is the starting material  If you do not specify enough regions to describe the material at every part of the grid  it may not be  detected until the execution of a subsequent command              For more examples  see INITIALIZE                 6 96 Silvaco    RELAX       6 54  RELAX    RELAX loosens the grid in an ATHENA mesh        Syntax  RELAX   MATERIAL   X MIN  lt n gt        X MAX  lt n gt    Y MIN  lt n gt    Y MAX  lt n gt     DIR X DIR Y   SURFACE   DX SU
355. es eee 3 1  3 1  Diffusion MODGIS croait doh earcareaele ai wa onal oe Ba ntinad nanan NE CEE Lape n ea tie 3 1  3 1 1  Mathematical Description    352 lance Papas eaea wee Mota Ae eee ny ace as eta ie 3 2  SA2 URC s PON Model ras i  Season kama a one heat oe cian A rater oh ts iene AAA Nera ETA act N ek 3 5  3 1 3  Impurity Segregation Model    n   crete ne ei hele Neuadd de Bio Bul Sih sie ei ote haat 3 6  3 1 4  The Two Dimensional Model sat cthedesad bout E eet eee Pein ee og tet Mile Sia tort Ste 3 7  3 1 5  The Fully Coupled Model   nunana nnana 3 16  3 1 6  Electrical Deactivation and Clustering Models             00  e cece eee ene ees 3 18  3 1 7  Grain based Polysilicon Diffusion Mod6l 23    cics4s  Sees eee eel ge cheat eer es ee A 3 21  3 2  Advanced Diffusion ModelS  is  ices ica tenet siwet sian dene e een Weed t be dae ewe awd ee aai 3 23  3 2 1  Classical Model of Dopant Diffusion  CDD            0    cece teenies 3 24  3 2 2  Solid Solubility Model    tse key eens pee ela Aes eps ig Sees ree 3 31  3 2 3  Interstitials Clusters  Model  IC  sc  evens ce ancea aanndear ae noes ee ee shane edar ee ne ceabensad 3 31  3 2 4  Vacancy Cluster Model  VC  ii  teeiteeerieeedeeesae ers deibta bee webiia edinelieeniies 3 33  3 2 5  Electrical Deactivation and Clustering Models  DDC               00 cence eens 3 34  3 20  Typical EXaMpleS  cates ccc kee ee ee ete EEEE we tee RA ee nsw A alga Week a 3 36  3 2  Oxidation Models  Geni at sacle dy cate teumtnaie ete EET aia me
356. escribed  Note that the  Distance parameter is equivalent to the location parameter in ATHENA  Also  the Add button is  equivalent to the Insert button of the ATHENA Mesh Define Menu  Then  press Return after entering  the Distance or the Spacing values     If the Distance and Spacing are set as shown in Figure 2 49  the grid will be the same in the Y   direction as the grid produced using the ATHENA Mesh Define Menu        2 66 Silvaco    Tutorial       Maskviews   Yertical grid control    Distance 0 00 Space 0  03    Distance 0 20 Space 0 02    Distance 1 00 Space 0 10    Distance 9 2 0 00  H 10 00  Spacing 0 02 0 00  k 4 00       Figure 2 49  Vertical Grid Control Popup    MASKVIEWS also controls the initial ATHENA grid in the X direction  MASKVIEWS generates ATHENA line  statements for each mask edge on valid layers crossed by a cutline  The grid spacing and the validation  of layers can be set by the ATHENA Grid Control Menu  See Figure 2 50   To open this menu  select  Grid    gt X    from the Define menu     Maskviews   ATHENA grid control  Current layer   POLY  first poly defi    Offset from point 0 00 Valid layers    Spacing at edge 0 05    Grid inside edge  Distance 0 30  Spacing 0 15    Grid outside edge    Distance 0 20  Spacing 0 10       Figure 2 50  ATHENA Grid Control Menu    Figure 2 50 shows the line locations and spacings preset for the POLY layer  This set of parameters  means that for each POLY edge crossed by a cutline  three line statements are to be in
357. ese statements manipulate the geometry or attributes of the structure or create output files     e ADAPT MESH enables the adaptive meshing algorithm    e ADAPT PAR specifies adaptive meshing parameters    e BASE PAR defines adjacent mesh characteristics of an automated base mesh    e ELECTRODE names electrode regions    e GRID MODEL defines a template file containing adaptive meshing commands    e PROFILE causes ATHENA to read in an ASCII file of depth and doping data    e RELAX loosens the grid within a user specified area    e STRETCH allows changes in structure geometry by stretching at a horizontal or vertical line     e STRUCTURE writes the mesh and solution information into a file  This is the main output  statement for generating program data to be plotted        6 4 Silvaco    ATHENA Statements List       6 2 3  Simulation Statements    These statements apply physically based models for processing operations to the structure     BAKE performs post exposure or post development photoresist bake   DEPOSIT deposits a material layer    DEVELOP performs photoresist development    DIFFUSE performs a time temperature step on the wafer and calculates oxidation and diffusion  of impurities    EPITAXY performs high temperature silicon epitaxial growth    ETCH performs a geometric or machine type etch on the structure   EXPOSE models photoresist exposure    IMAGE calculates a 2D or 1D aerial image    IMPLANT models ion implantation    POLISH simulates chemical mechanical polishin
358. esist called  SECRETX                                RATE DEVELOP NAME RESIST TEST E1L DILL 1 E2 DILL 0 5 E3 DILL  003                                           For more examples  see EXPOSE  BAKE  and DEVELOP                    Silvaco 6 89    RATE DOPE ATHENA User s Manual       6 50  RATE DOPE    RATE   DOPE specifies the enhancement parameters for dopant enhanced etching in ELITE                 Syntax       RATE   DOPE  MACHINE    lt c gt  MATERIAL I IMPURITY   ENH MAX    lt n gt    ENH SCALE    lt n gt    ENH MINC    lt n gt                                 Description    This statement is used to define dopant enhanced etching and can be applied to an etch machine  defined using the RATE   ETCH statement                 Note  Dopant enhanced etching is not applicable to MC PLASMA etch model     MACHINE specifies the machine name for which the dopant enhanced model to be applied     MATERIAL specifies material in which the dopant enhanced model to be used  see Section 6 2 9      Standard and User Defined Materials    for the list of materials      IMPURITY  INTERST  VACANCY  SXX  SYY  and SXY specify impurity  or other solution  which  concentration is used in the dopant enhanced etching model  see Section 6 2 10     Standard Impurities     for the list of impurities      ENH MAX specifies the maximum enhancement   ENH MINC specifies the solution value below which enhancement decays     ENH SCALE specifies the spread of the enhancement over solution values  i e   how qui
359. et of lines close to the silicon silicon dioxide interface  For this to  work  the existing grid spacing at the interface must be greater than 0 005 microns  Note that since  the lines are added only between existing mesh lines and the interface the lines must be specified in  this order  i e   getting closer to the surface                                ADAPT MESH SILICON  OXIDE ADD 1I LINE 0 005  ADAPT MESH SILICON  OXIDE ADD I LINE 0 001  ADAPT MESH SILICON  OXIDE ADD 1I LINE 0 0005  ADAPT MESH SILICON  OXIDE ADD I LINE 0 0001       For more examples  see ADAPT PAR        Silvaco 6 11    ADAPT PAR ATHENA User s Manual       6 5  ADAPT PAR    ADAPT PAR specifies adaptive meshing parameters   Syntax  ADAPT PAR       ATERIALS   I IMPURITIES   I INTERST   I VACANCY   DISABLE               MAX ERR  lt n gt    MIN ERR  lt n gt    CONC MIN  lt n gt    AREA MIN  lt n gt    AREA MAX  lt n gt     EDGE MIN  lt n gt    EDGE MAX  lt n gt    MIN ADD  lt n gt                                     AX POINT  lt n gt    MAX LOOP  lt n gt    IMPL SMOOTH   DIFF SMOOTH   IMPL SUB   DOSE ERR  lt n gt    DOSE MIN  lt n gt    DIFF LENGTH  lt n gt    ANISOTROPIC                       Description       ADAPT PAR specifies parameters used during adaptive meshing enabled by the ADAPT MESH  statement     MATERIALS specify standard materials or user specified material regions in which mesh adaptation  takes place  see Section 6 2 9     Standard and User Defined Materials    for the list of materials   On
360. eters  The mask to be  imaged will already be defined either by a MASKVIEWS   sec file or by the LAYOUT command  If a  MASKVIEWS   sec file is used  the IMAGE command will be of this form        IMAGE INFILE   sec             If the mask is defined using the LAYOUT command  the mask features will be stored in memory and the  only required input related to mask features is the OPAQUE CLEAR specification  OPAQUE specifies the  background intensity transmittance to be zero  CLEAR specifies the background intensity  transmittance to be one  OPAQUE is the default setting  OPAQUE and CLEAR cannot be used with an  input file from MASKVIEWS                             The Image Window  not the Computational Window  is specified with the parameters  WIN X  LOW   WIN Z LOW  WIN X HI  and WIN Z HI  These parameters define the minimum and maximum  range of the x and z values  see Figure 2 65   The aerial image is then calculated only inside this  window  This allows for faster computation when you only want a cross section  If you want a simple  cross section  set the window parameters for z  WIN Z2 LO  WIN Z HI  to the same value for a cross  section parallel to the x axis     This value  WIN Z LOW   WIN 2Z HI  gives the location of the cross section     Z    A    WIN Z HIGH       Image  WIN X LOW WIN X HIGH   gt  xX    Window                   WIN Z LOW    Figure 2 65  The Image Window can be Placed Anywhere in the XZ Window    The resolution in the image window can be controlled by tw
361. eviations in performing optical proximity correction  Thus  it must be used  together with the OPC parameter        OPC specifies the normalized intensity level for OPC evaluation  An image file   SEC  will be  generated for this particular intensity level and is to be used by MASKVIEWS     FLIP Y indicates that the structure should be flipped around the x axis  This is used to invert  structures for backside processing     MIRROR  LEFT  RIGHT  TOP  and BOTTOM mirrors the grid about its left or right  top or bottom  boundary respectively  This is useful for turning half of a MOSFET simulationstructure into full  structure for subsequent ATLAS simulation  The default reflection is about the right axis     INTENSITY specifies the aerial image intensity distribution to be saved in the output file   MASK specifies layout mask information to be saved in the output file     REMOVE GAS specifies that the gas region is to be removed from the output structure  Currently   the overlaying gas region is automatically added to the structure for Monte Carlo etch and BCA  implant simulations     SIGE CONV converts the layer of silicon that is highly doped with Ge into a Sij_  Ge  layer so it can  be used in ATLAS     TWO DIM specifies that the structure to be transformed into 2D if it   s still 1D   Examples    The following statement writes the current structure to a file called test str                 STRUCTURE OUTFILE TEST STR    The following statement saves an aerial image and masks c
362. extra Si SiO  interface recombination reactions           In the bulk  extra terms for point defect recombination apply at high concentrations  where  statistically  a high level of dopant defect pairing is prevalent      D   D  K    IIFACTOR   tit r   K 3 56  r D   Dy  r  5 D  D  K       IVFACTOR    t   K 3 57  r D  D      The extra model parameters calibrate the ratio of effective capture cross sections of dopant defect   defect to defect defect recombination mechanisms  The IIFACTOR and IVFACTOR parameters can be  set in the INTERSTITAL statement as follows           INTERSTITIAL SILICON IVFACTOR  lt n gt  IIFACTOR  lt n gt           Silvaco 3 17    ATHENA User s Manual       RTA Diffusion Modelling    SSUPREM4 has the capability to model rapid thermal annealing  RTA  processes within the framework  of existing diffusion models  i e   the two dimensional model and the fully coupled model   Since RTA  is a short time thermal cycle involving steep temperature ramping to high temperatures  Transient  enhanced diffusion  TED  will dominate whenever a significant amount of lattice damage is prevalent   Because the amount of dopant diffusion is intimately coupled to the evolution of the point defect  populations  you can calibrate these models to RTA conditions by tuning the point defect related  parameters  The ratio of interstitial damage in the form of  311  clusters to that in the form of free  interstitials and the characteristic time for dissolution of interstitial cluster
363. f Spacial Moments  RANGE  RP  specifies the projected range  Units are microns   STD DEV  DRP  specifies the standard deviation Units are microns   GAMMA  SKEWNESS  specifies the third moment  Default is 0 0   KURTOSIS specifies the fourth moment  Default is 3 01   LSTD DEV LDRP  specifies the lateral standard deviation Units are microns   SKEWKXY specifies the mixed third moment   KURTXY specifies the lateral mixed fourth moment   KURTT specifies the lateral fourth moment  Default is 3 0   SRANGE  SRP  specifies the projected range for second Pearson Units are microns        6 70 Silvaco    MOMENTS       SSTD DEV SDRP  specifies the standard deviation for second Pearson Units are microns   SGAMMA  SSKEW  specifies the third moment for second Pearson function  Default is 0 0   SKURTOSIS specifies the fourth moment for second Pearson function  Default is 3 01   LSSTD DEV LSDRP  specifies the lateral standard deviation for second Pearson Units are microns   SSKEWXY specifies the mixed third moment for second Pearson  Default is 0 0    SKURTXY specifies the mixed fourth moment for second Pearson  Default is 0 0   SKURTT specifies the lateral fourth moment for second Pearson  Default is 3 0    DRATIO specifies the dose ratio R in the double Pearson function  Default is 0 9    Reset Parameter    IGNORE_MOM specifies that all previous MOM     Examples       ENTS statements will be ignored     The MOMENTS statement is used to define user moments through a convenient command language
364. f all the PAC has been decomposed  R1  KIM must be  expressed in microns sec  R2  KIM corresponds to the dissolution rate of the unexposed resist material   R2 KIM must be expressed in microns sec  R3 KIM corresponds to the dissolution sensitivity of the  resist material  R3 KIM is dimensionless  R4 KIM corresponds to a specific depth into the resist film  for surface retardation effects  R4 KIM must be specified in microns  R5 KIM describes extraordinary                                  6 88 Silvaco    RATE DEVELOP       retardation effects  R5 KIM is dimensionless  positive  and less than one  R6 KIM describes  extraordinary retardation effects  R6 KIM is dimensionless  positive  and less than one  R7 KIM  describes extraordinary retardation effects  R7 KIM is dimensionless  positive  and less than one   R8 KIM describes extraordinary retardation effects  R8  KIM is dimensionless  positive  and less than  one  R9 KIM describes extraordinary retardation effects  R9 KIM is dimensionless  positive  and less  than one  R10 KIM describes extraordinary retardation effects  R10 KIM is dimensionless  positive   and less than one     CO EIB  C1 EIB  C2 EIB  and C3 EIB are the parameters for the Eib development model     DIX 0 and DIX E specify pre exponential constant in cm  sec and activation energy in eV for diffusion  of photoactive compound that are used in the post exposure bake     Examples    The following statement defines the Dill development parameters for a user defined r
365. f simplifying simulation of mask deposition over highly  non flat structures  A region to be etched may be any area not containing a mask on a clear field layer  or any area containing a mask on a dark field area  You can specify this in the Field section in the  MaskViews Layers Popup  In the case of the POLY mask and cutline in Figure 2 56  the barrier layer  will be etched to the left of x 0 8  and to the right to x 1 8  The following echo output will appear in  the Deckbuild Text Subwindow as the result of defining of the POLY mask           HENA gt  DEFINING POLY MASK   HENA gt  ASK NAME  POLY    BARRIER THICK 0 10   UCT OUTFILE  HISTORY 9   H BARRIER START X  0 100 Y  20  H CONT X  0 100 Y 20   H CONT X 0 800 Y 20   DONE X 0 800 Y  20   UCT OUTFILE  HISTORY 10   H BARRIER START X 1 800 Y  20  H CONT X 1 800 Y 20   H CONT X 2 800 Y 20   H DONE X 2 800 Y  20   UCT OUTFILE  HISTORY 11                            O                                                                                  pp DD DDD DD Db YI  Zz    S  Vv v  QARAW WY  I                                              D  z   A  D  V  n  vs        If the Reverse Mask box is checked in the ATHENA Photo popup  the following lines will be inserted  into the input file       DEFINING POLY MASK  MASK NAME  POLY  REVERSE                            and the effect of the field attribute is reversed  i e   the barrier area will be etched between x 0 8 and  x 1 8      When the mask is defined  the ATHENA dry etch capab
366. f simulation is difficult because  it needs to take into account a large number of phenomena including strong defect recombination at  the surface  and the fact that TED duration is function of the implant setup and subsequent diffusion  duration  Despite of these difficulties  Figure 3 8 shows a very good fit with experimental annealed  data which proves the excellent quality of the model     Nevertheless  as presented in the literature  the TED effect decreases at very low implant energy and  high temperature annealing  This explain why the simulations done using CDD and IC models and  only CDD model sometimes give reasonable agreement with experiments     Tony Piot VZET LA   2   Fie    View  Plot  Tools     Printe  Properties     Help        Boron Diffusion after implantation  Low energy implant   RTA    As implanted  B 2keV 1E14enr    Annealed at 1000  C 10sec  Simulation As implanted with SVDP  Simulation with full PLS model  Simulation with classical   IC model    Simulation with classical model     b        VE     2     Q  4      E  8  fe   O       0 01 0 02 0 03 od d  Depth  um     Cikk to place   P changes alignment o drag to get leader    SILVACO Intematknal 2003           Figure 3 8  Simulation of boron diffusion at 1000  C during 10 s after an implantation at 2 keV  with a dose of 1   10 4cm      Experimental data are from  28      Experiment with arsenic implanted at 2 keV    The PLS model is not only design for the boron diffusion but also for other common dopan
367. f the effective source  The condenser system is assumed to be diffraction  limited  that is  free of aberrations  Residual aberrations of the illuminator do have an appreciable  influence on the final image for Koehler type illumination systems as shown by Tsujiuchi  104         5 2 Silvaco    OPTOLITH Models       Figure 5 1 shows a schematic diagram of a generalized optical system  The actual source and the  condenser system are replaced by the equivalent effective source having an irradiance distribution of g   xo  Zo   The effective source for the object plane U is taken to lie in the exit pupil reference sphere of    the condenser lens  This means that directing from arbitrary points  xg  zg  on the effective source   plane waves propagate towards the object plane U having irradiance values of y  xg  Zo            source   condensor  reticle plane Imaging system Image plane  U     U  Figure 5 1  Schematic Diagram of a Generalized Optical System  The reduced coordinates  103  on the object plane are defined as follows   EE pea 5 3  A  v  Fon sina y 5 4    where  amp  and n are the Cartesian coordinates of the object plane  27   is the absolute value of the wave  vector  and n sina is equal to the numerical aperture  NA  of the imaging system  Primed quantities  indicate the corresponding coordinates and angles in the image space of the projection system  The  fractional coordinates on the object pupil spheres are defined as follows     x  g 5 5  h   z  il 5 6  h    where 
368. f the mask  points  By positioning this window so that the mask cell in the object plane is covered  multiple image  cells can be calculated        Silvaco 5 7    ATHENA User   s Manual       5 3 3  Computation Time    To increase computation  first use a very coarse mesh for screening type simulations and then refine  the mesh as you approach specific points of interest  Computation time is linearly dependent on the  number of source points  which is determined by the coherence factor        5 8 Silvaco    OPTOLITH Models       5 4  The Exposure Module    The Exposure Module computes the intensity distribution in the photoresist through the numerical  solution of the Helmholtz equation  Equation 5 24      VE   Kn    E   0 5 24    Here  E is the electric field  n x y  is the complex refractive index of media  and k is the wave number   The specific solution of this general equation is determined by a set of boundary conditions  Generally   the target  substrate coated with the resist film  consists of an arbitrary number of different materials  with n in Equation 5 24 and interfaces between material regions  Also  material refractive index can  depend on the absorbed dose  i e   n can vary with the exposure time      According to the electromagnetic theory  any field distribution can be represented by unique set of  plane waves  Generally  such a set has an infinite number of terms  But  the main contributions are  the incident wave and reflections from interfaces  Therefore 
369. f the radiation  This is  acceptable if all convergence angles are small     According to Watrasiewicz  100   who experimentally investigated the limiting numerical aperture   the breakdown of the scalar theory occurs at angles of convergence greater than 30    which  corresponds to a numerical aperture of 0 5  Similar results were published by Richards and Wolf  101    who used theoretical calculations to investigate the electromagnetic field near the focus produced by  an aplanatic system working at a high convergence angle  They also found appreciable departures  from scalar theory for convergence angles larger than 30    Since the convergence angles are calculated  in air  we can assume that the accuracy of this model is even better inside the photoresist  where  angles are reduced in accordance with Snell   s law     Consequently  it can be stated that the scalar diffraction theory gives a reliable limit for imaging  system numerical apertures of 0 5     The approach used for calculating the image irradiance distribution is based on the work of Hopkins   102  and  103   which showed the partially coherent illumination of the object structure can be  simulated by the incoherently illuminated exit pupil of the condenser  The exit pupil serves as an  effective source  which produces the same degree of coherence in the illuminated object plane as the  actual condenser system  The degree of coherence in the object plane is therefore determined by the  shape and angular size o
370. face  It has been also demonstrated that the fundamentals of the point defect properties are  critically important in accurate prediction of device behavior  For example  the reverse short channel  effect     The recombination flux of silicon self interstitials at a nonoxidizing interface T is given by the formula     ame all Tie z0  ve   3 105    tes 7  q q    where Ly and L  are called the recombination length at the surface  These parameters can be used for  adjusting the recombination rate at the surface and are specified in the defect  mod file        3 30 Silvaco    SSUPREM4 Models       In the case of dopant implantation  an exodiffusion may occur during the thermal treatment   Therefore  you can write the dopant flux at the surface as     o  AV  3 106    tot    oA   Jav  ip    Jir   r  where caz and ogy are the exodiffusion coefficients for each dopant defect pair and are defined in  dopand mod files  These parameters are defined by the following Arrhenius functions     E  _ 0 OAI  Oy    O4 exXp         0 E oar  ar     Oy   ot yexp     f 3 107    kT    3 2 2  Solid Solubility Model    The model for precipitation is assumed to be a constant solid solubility cut off  This means that all  solute atoms above the solid solubility level will form a precipitate almost instantaneously  This model  is activated by adding the SS parameter to the METHOD PLS statement        The rate equation for the solid solubility model can be formulated as follows  23    DA A  A T   for A  gt
371. ffusion Model is identical to the Two Dimensional Model  Be familar with that  model before reading any further  The one important difference is that the diffusion of the defects is  now influenced by the diffusion of the dopants by the addition of the joint pair fluxes to the flux terms  in the governing equation of the defects  Therefore  there is a true two way interaction between the  diffusion of dopants and the diffusion of point defects  which gives this model its name  The fully  coupled model is slightly more CPU intensive than the two dimensional model  but encompasses the  capability of reproducing certain important aspects of semiconductor processing such as the Emitter  Push Effect in the case of phosphorus diffusion     From a physical viewpoint  however  this original fully coupled model suffers from the shortcoming of  not explicitly representing pairs  and the consequential lack of a subdivision of defects and dopants  into paired and non paired fractions  Therefore  this model cannot reproduce the saturation of the  dopant diffusivity that is believed to occur at very high damage concentration due to a total pairing of  dopants  In other words  the model relies on the dilute approximation  i e   the assumption that the  concentration of pairs is much smaller than both the dopant and the defect concentrations   To use the  Fully Coupled Model  specify parameter FULL CPL inthe METHOD statement           3 16 Silvaco    SSUPREM4 Models       The Fully Coupled M
372. fusion and flux equations for vacancies are largely similar to the interstitial equations    described above     OC  i    V J  R     Here  Jy is the flux of vacancies and Rpg is the bulk recombination rate     The Vacancy Flux Expression is     3 47    3 48    C  Sy   DyCy   lt    Cy    which correctly accounts for the effect of an electric field on the charged portion of the vacancies by    C  taking the gradient of the normalized concentration TA The term Dy is the diffusivity of free         vV    vacancies  not to be confused with the pair diffusivity Day  which was mentioned in Section 3 1 2     The  Fermi Model     The vacancy diffusivity is set according to the following equation     D    D vexp  P2  3 49       where the D 0 and D E parameters are set on the VACANCY statement        3 14 Silvaco    SSUPREM4 Models       The bulk recombination rate  Rp  is a simple reaction between vacancies and interstitials that    assumes that any interstitial is equally likely to recombine with any vacancy  regardless of their  charged states  This assumption may overestimate the recombination rate but is a commonly applied  assumption  The equation is expressed as     x  Ry   RCC CFC  3 50    where K  is the bulk combination coefficient and is specified as     K    KR Oexp  ARE  3 51          where the parameters KR 0 and KR E are user definable in the INTERSTITIAL statement  This is the  same equation for bulk recombination as described earlier for interstitial bulk recombination 
373. g energy for each Boron   Interstitial Cluster and can be specified and modified in the boron  mod file     Phosphorus    For phosphorus  the similar situation can be considered  22   Therefore  phosphorus atoms can form  clusters with self interstitials  These clusters have been experimentally observed and are called  phosphorus interstitials clusters  PIC      The following reactions are taken into consideration     kp  I RA  P   I SA  PIAT  gt  Pio  3 123  kpot Pl     These and additional reactions and their parameters can be specified in the phosphorus mod file   Arsenic    The case of arsenic is a little bit different  Arsenic migrates through the vacancy and interstitial  mechanisms with roughly the same proportion  It is well known that arsenic can form clusters with  vacancies of type As  V  Therefore  the DDC model needs to take into account the following reactions     hich hs y  zr  As   AsV    As      AsV     As V       7483V   V     3 124    k   asv Asg V       Silvaco 3 35    ATHENA User s Manual       Kev  As3V   AsV    AS4V  V    3 125    AsgV    The system of equations for DDC model is    a    ot    w       at  ear             V  J     GRy   GRy    GRyy_p  GRaio              V Jy  GRy   GRyay   GR4ay_y   GRayo            V JIy    GRy   GR  _y   GRue    aye  3 126       E    V Jay    GRay   GRyy_ 1   GRave  OA  Ss   VS 4y t  GRyy  GR yy     GR y_ 1  GRarc   GRavos  OAIC  ar GRiic  OAVC  Ba GRiyo    where GRayc  GRajc are generation recombination terms caused by
374. g in the ELITE module   STRESS computes the thermal elastic stresses    STRIP removes photoresist or another user specified material     6 2 4  Model Statements    These statements are used to change model parameters and coefficients  The parameters are described  in the statement descriptions  When starting up  ATHENA executes the model statements in the file  named athenamod located in the  SILVACO lib athena subdirectory corresponding to the version  number and system type of ATHENA that you are running  This file contains the default parameters  for most model statements     ABERRATION defines aberration parameters of the optical projection system   CLUSTER specifies parameters of  311  cluster model    ILLUMINATION describes the photolithographic illuminating system   ILLUM FILTER defines filters used in the illumination source for photolithography   IMPURITY sets the coefficients of impurity kinetics    INTERSTITIAL sets the coefficients of interstitial kinetics    LAYOUT describes the mask reticle for imaging    MATERIAL sets the coefficients of various materials    METHOD sets the numerical options or models for solving the equations   MOMENTS specifies moments for Pearson implant model    OPTICAL specifies the coefficients of reflection and refraction    OXIDE specifies oxidation coefficients    PROJECTION defines the photolithographic projection system   PUPIL FILTER defines filters in the pupil plane    RATE DEPO specifies deposition rates for machine type deposits
375. g the depth of simulation  however  if the combination of large grid spacing is deep in the  substrate and the RELAX statement is used appropriately           Silvaco 2 33    ATHENA User s Manual       Simulating lon Implantation    Ion implantation is the main method used to introduce doping impurities into semiconductor device  structures  Adequate simulation of the ion implantation process is very important because modern  technologies employ small critical dimensions  CDs  and shallow doping profiles  high doses  tilted  implants and other advanced methods     The IMPLANT statement can be set by using the ATHENA Implant Menu  Figure 2 28   To open this  menu  select Process  gt Implant    in the Commands menu        Impurity     Dose  ions cm2      Energy  Ke      Model    Tilt  degrees      Rotation  degrees      Continual rotation     Material type    Point defects    Deckbuild  AT    Phosphorus    HENA Implant    Arsenic Bf2       Antimony Silicon    Zinc Selenium       Beryllium    Magnesium   Aluminum    Galliurn                Carbon       4 0 1 0   j 3 9 Exp  E  13       EQ O 500  0  50     9  ie  o s 180       O        crystaline    Damage farter to  amp     Comment      gt  Channel implant  WRITE             Figure 2 28  ATHEN    A Implant Menu    The following gives the minimum set of parameters that should be specified     e Name of implant impurity  e g   boron     e Implant dose using the slider for the pre exponential value  e g   4 0  and the Exp menu for t
376. gh a process called Ostwald ripening  But here  they   re considered as existing immediately after the implantation     The cluster release rate follows a simple exponential decay in time specified by   O 317 1 t  Rin      fe 4  exp    4  3 33    where f x  is the as implanted profile of  311  clusters  and t is an Arrhenius type temperature  dependent time constant calculated from     3 34    r  TAU 311 0exp    TAU3ILE     kT    where the TAU 311 0 and TAU 311 E parameters can be specified in the CLUSTER statement  The  profile  f x   of the  311  clusters is created from a previous IMPLANT statement  For more information   see Section 3 5 5     Ion Implantation Damage        To activate this model  a previous IMPLANT statement has to introduce  311  clusters with the  CLUSTER DAM flag in the METHOD statement  For example           METHOD CLUSTER DA  CLUSTER BORON MIN CLUSTER 1 0E17 MAX CLUSTER 1 0E19 CLUST FAC 1 4   TAU 311 0 8 33e 16 TAU 311 E  3 6 SILICON   IMPLANT BORON ENERGY 100 DOSE 1E15                                                                Here  the METHOD statement switches the model on  and the CLUSTER  optional  statement decides the  location and scaling of the  311  cluster profile  In this example  clusters are present in the regions of       the substrate  where the chemical boron concentration is between 1 0e17 cm    and 1 0e19 cm   which  are scaled by a factor of 1 4 relative to the boron concentration     Notice that the activation energy for TAU 
377. gh temperature linear steam oxidation rate for silicon  the following syntax can be  used     OXIDE SILICON WET LIN H 0  lt real gt  LIN H E  lt real gt     whereas for polysilicon the syntax is   OXIDE POLY WET LIN H 0  lt real gt  LIN H E  lt real gt                                      C 2    Silvaco    Hints and Tips       Question     When simulating a structure with a heavily doped polysilicon gate  unreasonably high concentration of  the impurity is sometimes observed at silicon oxide interface under the gate  Is it possible to avoid this  situation     Answer     The impurity transport through oxide is controlled by the impurity diffusion coefficients within oxide  and the impurity transport coefficients at the poly oxide and oxide silicon boundaries  Not all of these  coefficients are well characterized  If you know that for your process the impurity diffusion through  oxide is negligible  you may prevent the impurity transport from polysilicon through oxide into the  substrate by specifying zero transport coefficient as follows      lt IMPURITY NAME gt  POLY  OXIDE TRN 0 0 0    If the impurity concentration at the gate oxide silicon interface is measured  you can use the  measured value for tuning the TRN 0 parameter           Question     In which cases should the viscous oxidation model with stress dependence be used  Which parameters  should be tuned to match experimental shape of the grown oxide     Answer     The viscous stress dependent model is described in C
378. gt     ST ABSERR  lt n gt    GAUSS   DIAG    wu         LOOP   SINK         POL        MODEI     SIGE       C   M          VE     OCITY T          D     RELERR  lt n                LEU   CG     RELERR  lt n  BACK  lt        METHOD selects numerical methods and models for diffusion and oxidation     Y DIFF     IN  TEMP  lt   RAPS PSTI        n gt      PAC      gt    TD ABSERR  lt    gt    FU ABSERR  lt     n gt    BLK        KNOT   FUL             1 FAC              PDINIT TIME    REDO OXID    Col       wu    E  lt n gt            ERE          ERF1                   C ERFG      LIFT POLY   LIF  GRI  GRI  GLOO  OXIDE   OX OBFIX  lt n gt    FILL   ADAPT   DEPO SMOOTH  ETCH  SMOOTH   STRESS HIST                             Description    ERF2   COMPR    ESS    I  DE       FEC      lt n gt               D OXIDE  lt n gt   D SITLICI  lt n gt        P  IMAX  lt n gt   BRARLY  lt n gt    OXIDE      OXID I          GRI   GLOOP               EMIN  lt n gt      LATE  lt n gt            P       ERIMET                 T  VISCOUS  E   LIFT NITRID    GRIDINIT OX  lt n gt   DINIT SI  lt n gt     RBDF   FORM          OX THR       GLOOP   EMAX    OXIDE     ER  lt n gt            REL               TRUNC DEF  lt n    ULA        ESH  lt n gt       lt n gt       lt n gt               DIFF  SMOOTH   STEP   SMOOTH     n gt      n gt       gt      ITLIM  lt n gt       SKIP SIL     This statement is used to set flags to select the various mathematical algorithms that will be used to  produce the simul
379. gt   DVP  E  lt n gt  DVPP   0  lt n gt   DVPP  E  lt n gt   SOL SOLUB CLUSTER ACT  CTN  0  lt n gt   CTN E  lt n gt  CTP  0  lt n gt    CTP E  lt n gt   SS CLEAR   SS TEMP  lt n gt    SS CONC  lt n gt                                      ACT FACTOR  lt n gt    TRACT 0  lt n gt    TRACT E  lt n gt   TRACT  MIN  lt n gt     MATERIAL   SEG 0  lt n gt    SEG E  lt n gt    TRN  0  lt n gt    TRN E  lt n gt     TRNDL O  lt n gt    TRNDL E  lt n gt    PD DIX 0  lt  lt n gt  gt    PD DIX E  lt n gt    PD EFACT  lt n gt   PD SEG E  lt n gt                                                                  PD  TAU  lt n gt    PD SEGSITES  lt n gt    PD GROWTH 0  lt n gt    PD GROWTH E  lt n gt    PD CRATIO  lt n gt    PD SEG GBSI  lt n gt                        Description  This statement allows to specify coefficients of impurity diffusion  transport  segregation  and so on   Generic Parameters    I IMPURITY is the name of impurity which parameters to be specified  see Section 6 2 10     Standard  Impurities    for the list of impurities      DONOR  ACCEPTOR and NEUTRAL specify the type of the impurity in the given material  Default  is NEUTRAL        MATERIAL specify the material in which the impurity parameters apply as well as MATERIAL1 for  the segregation and transport parameters on the boundary between two materials  see Section 6 2 9      Standard and User Defined Materials    for the list of materials      AT NUMBER and AT MASS specify the atomic number and atomic mass of the i
380. h general  set dose 1e13  set energy 70  set ion boron      Set    non standard    material  set mat tisi     define substrate material  init  mat      First use MC method and save the structure file including moments  implant  ion dose  dose energy  energy monte n ion 20000 print mom  struct outf tmpfile str          EXTRACT AND RE USE THE MOMENTS  THIS MIGHT BE IN A SEPARATE ATHENA RUN     H               extract analytical moments stored in structure file  i   extract init infile  tmpfile str        extract name  rp  param  RP        extract name  drp  param  DRP        extract name  skew  parame  SKEW         extract name  kurt  param  KURT         Use them in the moments statement     moments  mat i  ion dose  dose energy  energy    i rp  rp drp  drp skewn  skew kurtos  kurt        Now analytical implant can be used     implant  ion dose  dose energy  energy print mom any  pearson F             1    ATHENA started ATHENA    Figure C 11  Syntax for extracting implant parameters from a Monte Carlo simulation        C 14 Silvaco    Hints and Tips                                     File    View    Ploty  Tools    Print    Properties  gt   Help     ATHENA  70 ke   Boron Implant into Titanium Silicide  i  Sy p Ry  3 F  J N    5 A N    e TAA i     x N  J g R  F     16 ie                  i    3         Monte Carlo Simulation i                       Pearson Using Extracted Moments    15    T T T T T T T T    _    T 7 ia T T  0 0 1 0 3 0 4          SILVACO International    Figur
381. h is the radius of the pupil  The fractional coordinates of the exit pupil of the condenser are  given by    Xo   5 7    Q Is       Silvaco 5 3    ATHENA User   s Manual       Z   Zy     5 8  oO   In these equations   ng  sind      5 9  n  sind    where ag and a are angular semi apertures of the condenser and the objective respectively  ng and n are  the refractive indices in the image space of the illuminator and the object space of the imaging system   usually both are set to one  The ratio o is the radius of the effective source referred to the aperture of  the objective and governs the degree of spatial coherence in the object plane  The limits o  gt  0 and  o        correspond respectively to coherent and incoherent illumination     The object is taken to be infinitely thin  Therefore  a complex amplitude transmission function can  describe the object  which gives the change in magnitude and phase produced on the radiation passing  through it  The object has the complex transmission A u v   Its real part is given by    H A u v       1 in transparent areas 5 10  0 in opaque areas    The complex amplitude of the Fraunhofer diffraction pattern on the entrance pupil reference sphere at  E of the imaging system is given  apart from a constant factor  by    a x z    Effa  v    exp  i ux   vz  dudv 5 11    which is the inverse Fourier transform of the complex amplitude transmission of the object  If not  stated otherwise  integration ranges from to        to          If the obj
382. hanges to a grid if obtuse triangles would result from the mesh relaxation  Consequently   RELAX will typically only work on meshes that were initially defined using LINE statements in ATHENA  For other structures   you can use DEVEDIT     For more examples  see VWF INTERACTIVE TOOLS USER   S MANUAL  VOLUMES I AND II        Silvaco 6 97    SELECT ATHENA User s Manual       6 55  SELECT    SE       ar             ECT selects the variable for printing using the PRINT   1D statement        Note  This command has been superseded for use with PRINT   1D by the EXTRACT command  See VWF INTERACTIVE  TOOLS USER   S MANUAL VOL  I        Syntax       SELECT   Z  lt c gt    TEMPERATURE  lt n gt                               Description    SELECT specifies the variable that will be printed by the PRINT 1D statement  You can only use one  variable at a time  Each SELECT statement overrides any previous statements                 w    Z is set equal to the selected variable  The operators               all work as standard algebraic  operators would  Z can be set to any of the vector variables shown on the next page                                                                                            Table 6 4  Select Operator Variables   Vector Variables Description  ANTIMONY antimony concentration  ARSENIC arsenic concentration  BORON boron concentration  CI STAR equilibrium interstitial concentration  CV STAR equilibrium vacancies concentration  DOPING net active concentration  ELE
383. hapter 3     SSUPREM4 Models        Viscous Model      There are also two examples in the ATHENA SSUPREM4 section of the Deckbuild Examples  Window  See Figure 2 2  that demonstrate the use of the model for LOCOS and SWAMI isolation  processes  The following considerations should be kept in mind when using this model     1  The stress dependent viscous oxidation model is an extremely time consuming simulation  method  Therefore it should be used only when it is absolutely necessary and alternative  approaches fail     2  Typical cases for use of the model are those where a kinked oxide surface is observed and when  the simulated bird   s beak is longer than the measured one     3  In some cases  the alternative compress method with increased Young   s modulus for nitride could  give a reasonable shape  see the ATHENA SSUPREM4 example in the    Online Help    Section      4  The grid for the stress dependent viscous oxidation should be as simple as possible  but it cannot  be too coarse in the direction of oxidant diffusion  x direction in the case of simple LOCOS      5  The higher than default relative error for oxidation rate should be chosen to allow faster  convergence  For example   METHOD OXIDE REL   0 01                   6  The main parameter for tuning the model is nitride viscosity  which is specified in the NITRIDE  statement   MATERIAL NITRIDE VISC 0  lt real gt   The higher the nitride viscosity the stronger the stress dependence  It is important to know that  ni
384. hat can be accessed by the MATERIAL statement  Parameter VISC O VISC E VISC X YOUNG M POISS R  OXIDE 1 99x1077 5 292 0 499 8 3x1011 0 2   wet   OXIDE gasio 7 405 0 499 8 3x1011 02   dry   NITRIDE 1 8x1015 0 0 499 1 0x1024 0 3  SILICON 1x103   0 0 499 1 7x1012 0 28  POLY 5x1011 0 0 499 1 7x1022 0 28  OXYNI 5x1012 0 0 499 3 89x1012 0 3                B 4    Silvaco    Default Coefficients       B 1 11  Linear Coefficients Of Thermal Expansion    These parameters can be accessed by specifying the LCTE parameter in the MATERIAL statement                                               Table B 9  Linear Coefficients of Thermal Expansion  Parameter Value  SILICON CTE   3 052e 6   2   6 206e 10     T   293   OXIDE CTE   1 206e 7   2   2 543e 10     T   293   ALUMINUM CTE   2 438e 5   2   6 660e  9     T   293   NITRIDE CTE   3 0e 6  POLY CTE   3 052e 6   2   6 206e 10     T   293                             B 1 12  Volume Expansion Ratio       The volume expansion ratio  ALPHA  can be set in the OXIDE statement                    Table B 10  Volume Expansion Ratio  Ratio Value  silicon oxide  unitless  0 44  poly oxide  unitless  0 44  Other combinations  unitless  1 00                B 2  Impurity Diffusion Coefficients                                                                                                 Table B 11  Impurity Diffusion Coefficients   Parameter Antimony Arsenic Boron Phosphorus   Silicon  121   DIX 0  cm2 s   0 214 8 0 0 037 3 85  DIX E  eV  3 65 4 05 3 46 3 
385. hat it also can be integrated in the close form through the  incomplete gamma function  Selection of transversal distribution function is subjective because it is  based on comparison with the lateral cross section of the 2D Monte Carlo distributions  which cause  accuracy to diminish further away from its maximum  The analysis of  56  based on the BCA    simulation  see Section 3 5 4     Monte Carlo Implants     showed that when By  S25  2 8   which    usually happens for random part of the 2D distribution or for amorphous implants  the Pearson type II  function slightly underestimates concentrations obtained in the BCA calculations while the MGF  slightly overestimates these concentrations  Therefore  it was decided to use in ATHENA an average    between the Pearson type II and the MGF for all B   lt 3  When P    3 both functions reduce to    standard Gaussian  Finally  in the case of higher values of lateral kurtosis it was found  56  that the  MGF appears to be a better approximation  so it is used in ATHENA     It is very difficult to find B x  as was done for a x  already mentioned  because the spatial moments  of fifth and sixth order would be needed to build analytical functions for B x   Therefore  ATHENA    uses constant By  the KURTT and SKURTT parameters for the first and second Pearson functions     correspondingly  when you specify the FULL LAT model in the IMPLANT statement  The generic  approximations  56  for ox   instead of Equation 3 210  and for B x  will 
386. he  exponent  e g   12     e Implant energy in KeV  e g   60     e Tilt angle in degrees  e g   7       e Rotation angle in degrees  e g   30       All other parameters can use their default values     Press the Write button and the following statement will appear in the input file       CHANNEL IMPLANT       IMPLANT BORON DOSE 4 0    CRYSTAL             E12 ENERGY 60 P                 EARSON TILT 7 ROTATION 30         Silvaco    Tutorial          All of the parameters in the statement above are self explanatory except CRYSTALLINE  The  CRYSTALLINE parameter indicates that for all analytical models  the range statistics extracted for a  single silicon crystal will be applied  when available   If AMORPHOUS is selected  the range parameters  measured in pre amorphized silicon will be used  when available   The CRYSTALLINE parameter also  has another meaning for the Monte Carlo or BCA implant models  It invokes the Crystalline Material  Model which takes channeling into account  Note that the latter model is much slower  5   10 times   than the Amorphous Material Model  The Crystalline Material Model is the default model for BCA or  Monte Carlo simulation           For a detailed description of ion implant model selection  see Chapter 3     SSUPREM4 Models        Section 3 5     Ion Implantation Models        You can specify tilt and rotation angles of the ion beam  Positive tilt angles correspond to the ion beam  coming from the top left  Specifying the rotation angle makes sen
387. he crystal orientation or the direction of the beam or both  the implanted projectiles  and the damage created by them has different spatial distribution  With even more higher fluency   these phenomena will cause collisional mixing in a layered substances  changes of the surface  composition due to preferential sputtering  and the establishment of a stationary range profile of the  implanted ions     Method of Solution    The paths of the individual moving particles and their collisions are modeled by means of the binary  collision approximation for a crystalline  polycrystalline and amorphous substance  using a screened  Coulomb potential for the nuclear collisions and a combination of local and non local free electron gas  approximation for the electronic energy loss  For each nuclear collision  the impact parameter and the  Azimuthal Deflection Angle are determined according to the crystal structure using its translational  symmetry  For amorphous materials  the impact parameter and the azimuthal deflection angle are  determined from random numbers  A proper scaling is chosen so that each incident projectile  pseudo   projectile  represents an interval of implantation dose  Subsequent to the termination of each pseudo   projectile and its associated collision cascades  the local concentrations of the implanted species   created vacancies and interstitials are calculated according to the density of the matrix     Nuclear Stopping    As mentioned before  during their passag
388. he device is to be stretched about a specified location  If device  characterization as a function of length is of interest  the stretch function will save massive amounts of  CPU time in generating multiple gate length structures  The stretch capability is also useful for power  devices     MATERIAL specifies material that defines the stretch region  see Section 6 2 9     Standard and User   Defined Materials    for the list of materials   Default is SILICON              LENGTH specifies the final value in microns to which the specified material region is stretched   Alternatively  you can specify X VAL using STRETCH  VAL to specify the position of a vertical cut line  and the distance to be stretched respectively  The grid spacing within the stretched region is defined  either by spacing or by division        X VAL and Y VAL specifies the horizontal or vertical position in microns at which stretch occurs   LENGTH overrides the STRETCH VAL  X VAL and Y VAL parameters  If LENGTH is specified  the cut  line stretch location defaults to the center of the specified material  The default material is polysilicon              SPACING specifies the grid spacing within the stretched region  Units are microns   DIVISION specifies the number of grid divisions within the stretched region     SNAP indicates that X VAL should    snap     change value or locate  to the nearest grid point before  stretching  SNAP is recommended to minimize the potential for obtuse triangle generation  SNAP 
389. he effective diffusivity  and increase of the inactive dopant concentration  These complexes are not assumed to be in local  equilibrium with the other species     e When the dopant concentration exceeds a few 102  cm     the dopant vacancy pairs can no longer be    considered as isolated entities because the vacancies can interact with more than one dopant atom     3 2 1  Classical Model of Dopant Diffusion  CDD     The basic idea of the model is isolated substitutional dopant atoms  A   are immobile  The dopant  diffusion occurs only through the migration of dopant  self interstitial  AJ  and of dopant  vacancy   AV  pairs  Moreover  in this enhanced model  local equilibrium is not assumed between the pairs and  their components  unlike the original CNET model  5    All possible charge states of the free defects  and of the pairs have been considered and their relatives concentrations depending on the local Fermi  level position        To turn on the CDD model  specify PLS parameter in the METHOD statement  All physical  parameters of the model can be modified in the    mod files  To specify the location of these files  use  the B MOD  P MOD  AS MOD  IC MOD  and VI MOD parameters in the DIFFUSE statement  By default  all these files are located in the SSILVACO lib athena  lt version_number gt  common pls  directory        Charge States  Point Defects    The result of diffusion studies in metals and ionic crystals have led to the establishment of several  basic atomic diffus
390. he final profile  shows the reflow shoulders and the proximity effects seen following a 10 minute reflow heat cycle at  950 C        xj TonyPlot   2 4 1    File v  View    Plot    Tools    Print    Properties    Help 7           BEFORE REFLOW HEAT CYCLE    Microns             T T N Sn  0 4 8 12 16 20 24    AFTER REFLOW HEAT CYCLE                   0 4 8 12 16 20 24    Loading file  tmp_mnt main striker andys dev cmp  history25 str    OK SILVACO International 1995      Figure C 5  Reflow of a via array       Silvaco C 9    ATHENA User s Manual       Question     How can the reverse short channel effect  RSCE  in MOSFETs be simulated using ATHENA and ATLAS   How can the physical effect behind RSCE be tuned     Answer     RSCE in MOSFETs is where the threshold voltage increases with decreasing channel length  At very  short channel lengths the normal short channel effect takes over and the threshold voltage decreases     The cause of the increasing threshold voltage is a non uniform enhancement of diffusion of the channel  implant laterally along the MOS channel  This non uniformity arises from the extra point defects  generated in the source and drain areas of the MOSFET  The source of these point defects is most  commonly the damage caused by the heavy n  and LDD implants  Other possible causes that can be  modeled in ATHENA are oxidation or silicidation of the source and drain area     The amount of implant damage from the source drain implants is controlled using the DAM FAC
391. he following statement prints the selected value at x equal to one micron between the top of the mesh  and the 3 0 micron point     PRINT 1D X VAL 1 0 X MAX 3 0    The following prints the selected variable along the silicon side of the silicon oxide interface        PRINT 1D SILICON  OXIDE                   For more examples  see SELECT and PRINTE           Silvaco 6 79    PRINTF    ATHENA User s Manual       6 43  PRINTF    PRINTF is a string printer and desk calculator        Note  Functions of this statement have been replaced by the EXTRACT statement    Description  The         ECHO statement merely prints the string given to it  This is useful for placing comments in an    output file  The statement attempts to parse the string to a legal real number if possible  It has a    regular expression parser built in  This allows      Examples    The following command will send the string    Athena 1    ECHO to be used as a desk calculator     m          Is My Favorite Process Simulator    to standard output     ECHO Athena Is My Favorite Process Simulator    The following command will print 4096     ECHO             ECHO     25354     The following command will print 8   373  which is the solution to the arithmetic expression       15 0   12 0   EXP  4 0   2 0   6 0             Silvaco    PROFILE       6 44  PROFILE    PROFILE reads a 1D doping profile into ATHENA        Syntax    PROFILE   INFILE  lt c gt    MASTER     IMPURITY   INTERST   VACANCY   CLUSTER DAM DIS LOOP     L
392. he grid spacing can increase rapidly in spacing away from the oxide silicon interface     Figure 2 36 shows the effects of changing the mesh spacing at the interface on the simulated drain  current  You can see from this figure that too coarse of a mesh always results in too high of a current  simulated        2 46 Silvaco    Tutorial            TonyPlot   2 6 10 A  e  File 7  View     Plot     Tools     Print     Properties     Help     TE GUESS CT Ges siya  T EET    Effect of  Y    Direction Grid Spacing on the l V Curve   Spacing Key Files in Angstroms              1000A log  500A log  100A log  50A log  20A log  10A log  5A log  2A log  1A log                         x   x Drain Current  A um         gt         a          Ligh aa  mL tp Hie mae a  mL   nll amr aa   num LST   pms    0 0 5 1 1 5 2 2 5 3  Gate Voltage  V     Loading file  home derekk manuals 1A log    OK    SILVACO International 1996             Figure 2 36  The effect of changing the mesh spacing at the interface on the simulated drain current    If contact resistance is a problem  then include it in the CONTACT statement  The resistance added to  the CONTACT statement should be the measured resistance per contact divided by the number of  contacts on each individual electrode  Obviously for D C  measurements  the resistance on the gate  contact will have no effect on the results since no current flows in this direction     Checking the Predictive Powers of Tuned Process Parameters    If the process simulat
393. he polymer material generated as a mixture of incoming ions with etched  sputtered  molecules of  substrate material  Also  the module has interface to the C Interpreter  which allows simulation of  several other processes such as wet etch and deposition  ion milling and sputtering deposition of  various materials  The Monte Carlo etch module was successfully used by Toshiba researchers for  simulations of reactive ion etching of narrow deep trenches in oxide  96      Simulation of Incoming lons and Neutrals    Direct modeling of the plasma sheath is not included into this release and will be added later  It is  assumed that ions and neutrals fluxes leaving plasma sheath are represented by bimaxwell velocity  distribution function along the direction determined by user specified incident angle     L L  K Uj   vy   I  exp  2L  24  4 16  Ty T   where   V is the ion velocity component parallel to the incident direction     V   is the ion velocity component perpendicular to the incident direction                 I ion  or neutral  current density specified by parameters  MC  ION  CU1 or MC  ION CU2 in the RATE ETCH  statement     T   is the dimensionless parallel temperature specified by parameters  MC NORM T1 or MC NORM T2     T is the dimensionless lateral temperature specified by parameters  MC LAT T1 or MC LAT T2     The incident angles are specified by the Mc  ANGLE1 and MC ANGLE2 parameters           Calculation of lon and Neutral Fluxes  During each time step  the simulati
394. he projected  range and range straggling in the layer are normalized according to the probability for the ion to  penetrating into the layer  The only available measure of the probability is the portion of the dose  accumulated in the specific layer  Therefore  the corrected projected range Rp  and range straggling          AR  in the i    layer are calculated as follows        Silvaco 3 71    ATHENA User s Manual       f    2 39 k  Ric   OR    gt       Rp 3 201  k  1  i    l  venii k  Ric   OR    gt      Rp 3 202  k 1  where  i l  go    4   gt F r 3 203  k  1    You can use the SCALE  MOM parameter together with any of three depth matching methods        3 5 3  Creating Two Dimensional Implant Profiles    Convolution Method  ATHENA calculates 2D implant profiles using a convolution method described as follows  First  it  calculates the implantation direction within the simulation plane using the TILT O and ROTATION      angle parameters specified in the IMPLANT statement  O is the angle between the ion beam direction  and y axis    is the angle between ion beam direction and the simulation plane  For example      0    and 9  gt  0   correspond to an ion beam parallel to the simulation plane and directed toward the lower     right corner of the simulation area  The case of     90   and O  gt  0   correspond to an ion beam in the  plane perpendicular to the simulation plane and directed from behind the simulation plane  The  effective implantation angle in the simulation plane
395. her standard silicides PTSIX and WSIX as well as for user defined Silicides the  TiSi2 growth rates are used  The silicide growth rates can be modified by varying parameters D 0 and  D E for silicon  or interstitial  diffusivity in silicide D   which are specified in the INTERSTITIAL    statement  Defaults are D 0 1 96 and D E 1 81 for all silicides              Silicide formation usually leads to a large volume decrease  The ratio between consumed volumes of  silicon and metal and resultant volume of silicide are specified by ALPHA parameters in the SILICIDE  statement  The default values for the ALPHA parameter are taken from  44            3 64 Silvaco    SSUPREM4 Models       The 2D movement of growth interfaces and volume change cause the viscous flow of the silicide layer   This silicide flow is modeled analogously to the compress model of oxidation  where the equations  solved are        VEVE 3 175  V  y       p 3 176  u  E    3 177  FLD  where     e V is the velocity    e P is the pressure   e u is the viscosity    e v is Poisson   s ratio     e E is Youngs modulus        The parameters v and E are specified using the POISS R and YOUNG  M parameters in the MATERIAL  statement        Silvaco 3 65    ATHENA User s Manual       3 5  lon Implantation Models    ATHENA uses analytical and statistical techniques to model ion implantation  By default  the analytic  models are used  Analytical models are based on the reconstruction of implant profiles from the  calculated or m
396. hosphorus  Silicon oxide   SEG 0 30 0 30 0 1126 0 30 0    unitless    SEG E  eV  0 0 0 0 0 91 0  0  Poly oxide   SEG 0 300 30 0 1126 0 30 0    unitless    SEG  eV  0 0 0 0 0 91 0  0   Other Impurities and Pairs of Materials  SEG  eV  0 0                         B 6    Silvaco    Default Coefficients       B 4  Interface Transport Coefficients       Table B 13  Interface Transport Coefficients                                                                   Parameter Antimony Arsenic Boron Phosphorus  Silicon gas  123    TR unitless  2 5x1073 LS 27 9 1 5   R eV  1 04 12 99 2 48 1 99   Poly gas   TRN  unitless  2 5x1073 1 5 2439 15   R eV  1 04 LHII 2 48 1 99   Other Impurities and Pairs of Materials   TR unitless  1 55 x 1077   R  eV  0 0                         B 5  Solid Solubility In Silicon    Solubility can be modified for a particular temperature using the SS  T     in each of the impurity statements        EMP and SS CONC parameters       Table B 14  Solid Solubility in Silicon 124    125                                                                             Temperature Boron Phosphorus Antimony     C   cm   cm   cm   800 3 4499x1019 2 3000e    825 0 4 1291x1019  850 0 4 9027x1019 2 7943x102    875 0 5 7777x1019  900 0 6 7615x1019 3 1585x102   3 0000x1019  925 0 7 8610x1019  950 0 9 0832x1019  975 0 1 0435x107    1000  101922x102   303981x107   4 0000x1019  1025  103552x107    1050 1 5331x107    1075 1 7263x102   1100  1 9356x102  3 7943x107   4 8000x10     1125  
397. hree operating regions is dominated by a different physical phenomenon  Therefore  successful  modeling of a BJT involves matching both the base and collector currents in each of the three general  operating regions  making a total of six areas for calibration  The derived parameter  hfe  is also a good  parameter to monitor  since this is sensitive to errors in the ratio of collector to base current     The following text suggests an approach and describes which of the six regions are effected by each  change  The general technique is to calibrate the parameters that have the greatest effect on device  performance in all regions first and then to move on to more subtle phenomenon that effect certain  parts of the base or collector currents or both  In general  matching the collector current for all  injection regions is less problematic than matching the base current at the extremes of the injection  regions  Consequently  there are more sections on tailoring these parts of the curve  The text is divided  into the following sections     Tuning Base and Collector Currents     All Regions  Tuning the Base Current     All Regions   Tuning the Collector Current     All Regions   The Base Current Profile     Medium Injection    OURS OO NO at    The Base Current Profile     Low Injection  6  Conclusions    If you follow this order  there should be a reasonable correlation between measured and simulated  data  Most of the tuning parameters  however  have some degree of interdepende
398. ic potential   e R is defined from equation g R    0     In ATHENA  the intersections of the incoming and outgoing asymptotes are evaluated with the hard  core approximation of the time integral     x    ptan 0  2  3 222    x    0 3 223    Interatomic Potential    ATHENA uses two body screened Coulomb potentials with a screening function  which is a numerical  fit to the solution given by Firsov  60   It also preserves the same analytic form as for the isolated  atom     AA 2  V r    area  3 224    where Z  and Zg are the atomic numbers of the two atoms and dq is the screening length defined by    1 3  dy   0 88534 pZ 3 225    where Z is an    average    atomic number of the two atoms calculated as    a  _   1   Burs Ae a M  3 226    The main drawback of these two body potentials is their relatively slow decay as r        The  screening parameter  a 0  is often regarded as an adjustable parameter for each two body combination     which can be matched either to self consistent field calculations or to experimental data  ATHENA uses  the screening function in the form    4  X  p3 a exp    b x  3 227  i      where a  and b  are taken from  61         Silvaco 3 79    ATHENA User s Manual       Electronic Stopping    Electronic stopping used in the simulation consists of two essentially separate mechanisms for  inelastic energy losses  local and non local  These two types of electronic stopping are quite different in  nature and behavior    they have different energy and spatial d
399. id or not  This obstacle can be overcome by simulating ion fluxes and by setting the etch rate to zero  if the flux on the surface segment is less than some small threshold value        Surface Movement    A sophisticated string algorithm is used to move all segments  according to the rates  positive or  negative  calculated at each time step  If the rate is negative  the surface moves outside and the area is  filled with redeposited material  by default  polymer   If the rate is positive  the surface moves inwards  and the area is filled with vacuum        Silvaco 4 19    ATHENA User s Manual       4 5  Reflow Model    A two dimensional viscous reflow capability is included in ELITE  The vitreous silica  e g   oxide   BPSG   are modeled as the viscous incompressible fluids  which are dynamically deformed under the  driving force of surface tension  The finite element method is used to solve the creeping flow equations  for the chosen materials  With a 7 node triangle element as the basic discretization unit  arbitrarily  shaped 2D regions and surface curvatures are automatically described  Using the built in user defined  material capability  you can simulate multiple material combinations  The flow equation solver can be  coupled with impurity diffusion to simulate the impurity redistribution and oxide growth     The reflow is invoked by setting the reflow flag in the DIFFUSE statement and by setting the REFLOW  flag in the MATERIAL statement to choose a specific material  
400. id thermal annealing   e Models simultaneous material reflow and impurity diffusion   e Impurity diffusion in polysilicon accounting for grain and grain  boundary components   Epitaxy e 2D epitaxy simulation including auto doping   Etch e Extensive geometric etch capability        e Wet etching with isotropic profile advance     e RIE model that combines isotropic and directional etch  components     e Microloading effects    e Angle dependence of etchant source   e Default etch machine definitions    e Monte Carlo plasma etching    e Dopant enhanced etching              Silvaco    Introduction          Table 1 1  Athena Features and Capabilities       Features Capabilities          Exposure e Model is based on the Beam Propagation Method simulating reflections  and diffraction effects in non planar structures with capability to take  into account local modification of material optical properties the  absorbed dose     e Defocus and large numerical aperture effects        Imaging e Two dimensional  large numerical aperture  aerial image formation   e Up to 9th order imaging system aberrations    e Extensive source and pupil plane filtering for enhanced aerial images   e Full phase shift and transmittance variation mask capabilities        Implantation e Experimentally verified Pearson and dual Pearson analytical models    e Extended low energy and high energy implant parameter tables    e Binary Collision Approximation Monte Carlo calculations for crystalline  and amorphous m
401. ied independently from the depth standard deviation  The  LSTD DEV parameters can be specified in seriously improved MOMENTS statement or in user defined  tables  see below   Also  they are added into the standard look up table for a few ion material  combinations  Simplified control of the lateral distribution could be achieved by using LAT RATIO  parameter in the IMPLANT statement           Generic Pearson Distribution   To achieve better compatibility to several other implant simulation  programs  e g   UT at Austin   deviations from standard Pearson IV distribution formula could be  allowed using new ANY   PEARSON parameter  It means that kurtosis  fourth moment  could be slightly  smaller than the critical kurtosis of the Pearson IV formula        Range Parameters are Eliminated from the IMPLANT statement   This capability has become  obsolete after complete implementation of the MOMENTS statement  The capability was very limited  because it could be used only for unimaterial structures     New PRINT MOM parameter of the IMPLANT statement   Tells ATHENA to printout range  parameters used for all ion material combinations for specified energy and dose  It also refers user to a  source where these parameters are taken from  standard tables  user specified tables  or the  MOMENTS statement   In the case of Monte Carlo simulation PRINT MOM prints spatial moments  calculated from the Monte Carlo based profile     Improved Control of Moments Selection   The selection of implan
402. ields  When modelling the actual diffusion process  there are additional effects to consider  such as impurity clustering  activation  and how interfaces are treated  Fundamentals of the models  described in this section could be found in  5    6   and  7      Note  In the following sections  the terms impurity and dopant shall be used interchangeably  although an impurity doesn   t  necessarily have to be a dopant  Also the term  defect  shall mean the same as point defect  unless otherwise indicated in the  context     Diffusion of dopants and point defects in SSUPREM4 is described by a number of user specifiable  models  The three most basic models are the following     e The Fermi diffusion model   e The two dimensional diffusion model   e The fully coupled diffusion model     The models are natural extensions of each other in the sense that the Fermi model is included in the  two dimensional model  which is included in the fully coupled model  The two significant differences  between them are the way point defects are represented and treated throughout the simulation  and  how the specific dopant diffusivities are formulated  The selection of which model to use will depend  upon the existence or the generation of point defects during the diffusion process and the dopant  concentrations within the silicon  Careful reading of the following sections is critical to understanding  which model to use     All three models rely on the concept of Pair Diffusion  which says that a
403. ier e g   A min   You can select one of seven unit specifiers from the  menu     e Deposition rate  e g   1000   This parameter is specified in the user selected units     The SIGMA DEP parameter is optional and defaults to 0 2           The SMOOTH WIN and SMOOTH STEP parameters provides an alternative to a complete reflow  calculation  It allows a geometric averaging over a window of width  SMOOTH WIN  microns that is  performed over a number of steps  SMOOTH STEP   These parameters perform a post deposition  smoothing that effectively emulates a reflow process  The wider smoothing window produces a more  intensive surface redistribution of the deposit material  The default number of smoothing operations   1  is adequate for most applications        One or several model specific parameters are attributed to each model  For example  only the ANGLE1  parameter is required for the unidirectional model  Table 2 4 indicates which parameters are required  for each model  The Machine Type section of the ATHENA Rate Deposit Menu includes only those  parameters that are relevant to the selected model  Each parameter has a default value which will be  inserted in the input file  If some of the parameters are undefined  the simulation may be invalid or  may produce unpredictable results           If the ATHENA Rate Deposit menu is set as shown in Figure 2 44  the following RATE   will be inserted into the input file     EPO statement        0                RATE DEPO MACHINE TEST01 ALU
404. ies linear coefficient for Ge content dependency formula of intrinsic carrier  concentration for Boron diffusion model in SiGe SiGeC     EAFACT SIC specifies linear coefficient for Ge content dependency formula of intrinsic carrier  concentration for Boron diffusion model in SiGe SiGeC     Example    The following statement defines some properties of a material called BPSG  The material is composed  of silicon  oxygen  boron  and phosphorus with fraction composition 0 3  0 6  0 05  and 0 05 respectively   Monte Carlo Implants could be performed into this material based on this definition        MATERIAL MATERIAL BPSG AT NUM 1 14 AT NUM 2 8 AT NUM 3 5    AT NUM 4 15 AT MASS 1 28 086 AT MASS 2 16 AT MASS 3 10 8    AT MASS 4 31 ABUND 1  3 ABUND 2  6 ABUND 3  05 ABUND 4  05                      For more information  see OXIDE  STRESS  and DIFFUSE                       Silvaco 6 63    METHOD    ATHENA User s Manual       6 36  METHOD       Syntax       FR          PURI    HOD   RMI   TWO   PLS   LUSTER  DAM   LUS  iGECDF  MOD     DIM     Ic   ve          RANS         ST        DDC   SS     HIGH CONC   DOSE   SiG     LOSS                          1  ERROR  lt n gt              E  RELERR  lt n gt                   RELERR  lt n gt    MIN  TIME STR  ERROR  INIT TI  OXID           FILL                    E  lt n gt      E GDT  lt n gt         ABS   F           FREQ  lt n gt         NEWTON     EADY  FULL CPL    ECNI  MOD   Y   INTERST   VACANCY   OXIDAN  ERROR  lt n gt   E ABSERR  lt n 
405. ification    Wi Total number of grid layers    fe Nominal grid spacing  um   0 02 _  ra Grid spacing location  ym               Minimum grid sparing dumb          Wi Minimum edge spacing  pm      Impurity concentrations  ato mcm3         Antimony        Arse pie        Sergiy          Phosphorus        Silica        fine           Se ban begun       feryillani          Magne slit       Adaggpn Ea igaes          Galligan       Carbon           chramh iph             heruian ian   Composition fractions   initial ramus Hien fracti    Final compositios fraction     Comment  Poly deposition                                         Figure 2 13  Impurity Section of the ATHENA Deposit Menu    Click on the Phosphorus checkbox and set the doping level  e g   5 0x10    using the slider and the  Exp menu  You can set a non uniform grid in the deposited layer by changing the Nominal grid  spacing and the Grid spacing location parameters  To create a finer grid at the polysilicon surface   set the total number of grid layers to 10  the Nominal grid spacing to 0 02 um and the Grid  spacing location to 0 0  at the surface   Then  click on the Write button and the following deposition    statement will be written in the input file as     DEPOSIT POLY THICK 0 5 C PHOSPHOR 5  0   DY 0 02 YDY 0 0 MIN SPACING 0          E19 DIVISIONS 10     001       2 18    Silvaco    Tutorial       Use the Cont button to continue the ATHENA simulation  This will create the three layer structure  shown in the lef
406. ify the Total number of grid layers in the deposited material region  If  you set this number to 10  it will insert the following ATHENA ELITE DEPOSIT statement             USING DEFAULT DEPOSIT MACHINE PE4450  DEPOSIT MACHINE PE4450 TIME 2 0 MINUTES DIVISIONS 10                                        You can specify impurity concentrations in the deposited region in the Impurity concentration  section of the ATHENA Deposit Menu by clicking on the Impurities box        Silvaco 2 59    ATHENA User s Manual       HS     Deckbuild  ATHENA Deposit       Type        Conformal  Machine      Display           Impurities       PARAMETERS TO RUN THE DEFINED MACHINE  Machine name  PE4450       Time of run  2 0 E  minutes    Grid specification   Wi Total number of grid layers   Nominelarid spacing dumk 3  Grid suacing lacefion Gam  Minimum arid seacing  um     Wining edge seacine pmi    Composition fractions     O jaltialramuesition fraction  3       O Fipai campaesikipn fraction     Monte Carlo Parameters        O Rluinibed of partichgs     Comment  Using default deposit machine PE4450                        Figure 2 43  ATHENA Deposit Menu with Machine Section    Modifying ATHENA ELITE Default Machines    The file athenamod defines PE4450 as follows  Notice that a       is used to concatenate or continue a    long input line      RATE DEPO MACHIN             E PE4450 ALUM             INU            U M SIGMA DEP  35 HEMISPH  ANGLE1 72 ANGLE2    70                E D       EP   RAT    
407. ify the column entries with the parameter HCLT  which is an array of numerical  values surrounded by double quotes and separated by spaces or commas  Specify the dependence of  B A with the parameter HCL  LIN  which is an array of numerical values surrounded by double quotes  and separated by spaces or commas  The number of entries in HCL LIN must be the product of the  number of entries in HCLP and HCLT  Specify the dependence of B with the parameter HCL PAR  which  is an array of numerical values surrounded by double quotes and separated by spaces or commas  The  number of entries in HCL PAR must be the product of the number of entries in HCLP and HCLT     BAE DEP  BAF EBK  BAF PE  BAF PPE  BAF NE  BAF NNE  BAF KO  and BAF KE relates to the  doping dependence of the oxidation rate  The doping dependence is activated when BAF  DEP is true   default   MATERIAL1 must be specified with these parameters  only SILICON and POLYSILICON  make sense here      STRESS DEP  VC  VR  VD  VT  and DLIM controls the stress dependence of oxidation  which is only  calculated under the VISCOUS model  STRESS DEP turns on the dependence  VC is the activation  volume of viscosity  VR is the activation volume of the reaction rate with respect to normal stress  VT is  the activation volume of the reaction rate with respect to tangential stress  VD is the activation volume  of oxidant diffusion with respect to pressure  DLIM is the maximum increase of diffusion permitted  under tensile stress        
408. igure 2 39  will appear        iy Deckbuild  ATHENA Stretch    Stretch  From Material Center Snap to Grid ii  Stretch Length  ym   1 5000 01 e k 2750   Stretch fron  K pesiiien dam  mou hae nS cone RECO   ri Grid Divisions    O Grii pacing dumb GJ cAi        Stretch Target Material     Polysilicon    Comment  Stretch to 1 5 microns        Figure 2 39  ATHENA Stretch Menu    Then  select Stretch   Polysilicon  upper left hand corner   Next  set Stretch Length to 1 5u  and  choose 10 as the number of Grid Divisions  Then  press the Write button and the following command  will appear in the input file       STRETCH TO 1 5 MICRONS  STRETCH LENGTH 1 5 POLY SNAP DIVISION 10                   As a result  the polygate will be stretched from its initial length of 0 6 u  left plot in Figure 2 40  to  1 5u  right plot in Figure 2 40      Ten additional vertical grid lines will be inserted in the center of the gate area  The LENGTH  parameter of the STRETCH command can serve as a split parameter for the Virtual Wafer Fab Split  Experimentation capability  For more information about this capability  see the VWF AUTOMATION AND  PRODUCTION TOOLS USER   S MANUAL              2 56 Silvaco    Tutorial       Another use of the Stretch capability is in the simulation of large power device structures  where  active areas are uniform everywhere except in close proximity to the mask edges and are separated  from each other by long non active or isolation regions  You can simulate a shrunken struct
409. ility can be used to etch the specified thickness  of a material not covered by the mask  After the dry etch is complete  strip the mask by clicking the  Strip Mask button in the ATHENA Photo popup  A typical mask definition fragment should appear as  follows       POLY DEFINITION  ASK NAME  POLY    CH POLY THICK 0 5  TRIP             E       n    If the cutline from Figure 2 51 is loaded  this will give the structure shown in upper plot of  Figure 2 57  If the reverse parameter is added  the structure will appear as shown in the lower plot of  Figure 2 57        2 72 Silvaco    Tutorial       TonyPlot   2 1 beta        File vj  View vj  Plot yji Tools vj   Print      Properties      Help 7     Le          Microns    Microns    ATHENA    Nermal Magik       ona             04                               3S                eS             ee                        So o Q  Dp Q m    G        o 6  po A  IU                                                       Reverse Masik                                                                   SILVACO International 1993    Figure 2 57  Using Mask Capability for POLY Definition       Silvaco    2 73    ATHENA User s Manual       2 9  Using ATHENA OPTOLITH    2 9 1  Overview    ATHENA OPTOLITH is designed as an optical lithography tool integrated into a complete process  framework  Specific functions of ATHENA OPTOLITH include 2D aerial image formation  2D photoresist  exposure and development  post exposure bake  and post processing cap
410. ill be grown only over silicon  while Poly Si will be grown  elsewhere                 Silvaco    D 7    ATHENA User s Manual       Stress Simulation Feature       The Stress History Model has been added  This model is specified by using the STRESS HIST  parameter in the METHOD statement  The default is FALSE  If this method is specified  ATHENA then  calculates stresses when the structure changes after etching  deposition  epitaxy  and diffusion  processes     D 6 2  ELITE Capabilities    1        The capability to specify direction of incident ion beams for Monte Carlo plasma etch module is  activated  The MC ANGLE1 and MC ANGLE2 parameters have been introduced           The old limit of  6000 on the number of nodes in the structure allowed during reflow simulation is  removed     D 6 3  Miscellaneous Features and Bug Fixes    1     a oP  amp     Capability to transform 1D structure into 2D structure when writing standard structure file in the  STRUCTURE statement has been added  When the TWO DIM parameter is specified and the current  simulation structure is 1D  it will be transformed into 2D before saving in the specified   str file        User defined materials with the names corresponding to SILVACO standard materials are now  saved in Standard Structure Files as standard materials so that they will be recognized by ATLAS   DEVEDIT and other SILVACO tools  The ELECTRODE statement now recognizes the regions with  material names specified as metals in the list below      
411. ill be used in place of the build in function        A 2 Silvaco    Appendix B   Default Coefficients       This appendix contains the list of impurity and material default coefficients  default model  parameters  and other parameters used in ATHENA calculations  Most of these coefficients are  initialized in the athenamod file  The file athenamod will appear when you select  Commands    Models in DECKBUILD while ATHENA is the current simulator  Almost all of these  coefficients can be modified to match measured results     You should check the contents of athenamod for updates to default values that may be more current  than those shown in the following lists     B 1  Oxidation Rate Coefficients    B 1 1  Dry Ambient For  lt 111 gt  Orientation    These parameters are from the bibliography reference  34                                             Table B 1  Parabolic and Linear Rate Constants for Dry Ambient  Parameter Value  PAR H 0  ym  min  12 8667  PAR H E  eV  1 23  P BREAK    C  0   LIN H O  pm min  1 038x10    LIN H E  eV  2 0  1 BREAK    C  0                 B 1 2  Wet Ambient for  lt 111 gt  Orientation    These parameters are from the bibliography reference  36                              Table B 2  Parabolic and Linear Rate Constants for Wet Ambient  Parameter Value  PAR L O  um  min  283 333  PAR L E  eV  1 17  PAR H O  um  min   20  PAR H E  eV  0 78  P BREAK    C  950                             Silvaco B 1    ATHENA User s Manual                              
412. in a given time frame     Adaptive Meshing During Ion Implantation and Diffusion   A series of important improvements  are now available in SSUPREM4 in the area of automated adaptive meshing  Improvements include   efficient 1D adaption and a new basemesh generation routine during the auto transition to a 2D  structure  2D adaption employs a new smoothing capability  Time stepping control also allows greater  versatility  Templates for a range of technology are supplied to more automatically generate the mesh     Implant Simulation Features    Advanced 2D Implant Distribution Model   Analytical 2D distribution model which takes into  account depth dependence of lateral standard deviation is implemented  It is invoked using parameter  FULL LAT in the IMPLANT statement  In order to use this advanced model the following additional  spatial moments should be furnished  LSTD DEV  LGAMMA  and LKURTOSIS  Corresponding  parameters could be specified for the second  Pearson distribution in the case of double Pearson model   All above mentioned new parameters can be specified in seriously improved MOMENTS statement or  in user defined tables  see below   Also  they are added into the standard look up table for a few ion   material combinations     Flexible Control of Lateral Distribution   More accurate and flexible modeling is implemented  also in the case of simple lateral implant distribution with constant lateral standard deviation  The  lateral standard deviation now can be specif
413. in films to reduce the surface  energy associated with areas of high curvature     A prediction of the trends in local film density can be achieved  Plot with discs can be obtained using  the parameter OUTFILE  lt filename gt  in the DEPOSIT statement  Figure 4 7 shows the vapor flux  distribution arriving can be defined using the ANGLE1 parameter describing the angle measured  between the vertical from the source and the wafer normal                       To use multiple steps for both MONTE1 and MONTE2 models  set the DIVISION parameter in the DEPOSIT  statement  The number of incoming particles can be defined by the N PARTICLE parameter in the  DEPOSIT statement           4 3 9  Custom Deposition Models    ELITE implements two slightly different custom deposition models  In both models  the angle is d        Silvaco 4 11    ATHENA User s Manual       4 4  Etch Models    ELITE provides a set of etch models that correspond to different physical etching techniques  93    94    and  95   Any one of these models can be selected to define a machine that can then be invoked to  perform processing on the structure  In addition  ELITE provides a primitive etching capability that  can be used to define initial structures     4 4 1  Isotropic Etch Model    To use the model  specify the WET ETCH parameter in the RATE ETCH statement  In wet etching and  simple plasma etching  the substrate is immersed in a fluid  liquid or gas   which chemically reacts  with the exposed surface  In wet
414. in iste carte eh anew a eee ep aie oe state 6 42       viii    Silvaco    Table of Contents       6 27 IMAGE ss edite se toed eee line AAA a ated oat onl etc et eae eg ee 6 43  6 28  IMPLANT i  a a ck cate 2S cates are A bats ch Gn ed teeters aie any aisha Sache alm heal ome pace AS 6 45  6 29  IMPURITY soisi Ses cried aaa Soin te whee he tot aie ae Setwrane Rens a Oe eee ewe BaN A 6 49  6 30  INITIALIZE  SoS AS fOr wake hate re aa he ck Wet  E a ca ee Eien Lah Kale Wi tl 6 52  6 31  INTERSTITIAL and VACANCY i ic 0cc 20 ecie raga niieoe ewe eaa a AAE acids aw 6 54  6 32  LAYOUT scoccccriereadvecersedpreatig onl pesa Peeee Pau SiGe DoE AAE ERA eles Diit 6 57  6 33  LINE x Ser a aie cua Rectan a aa a inte reed aA vil cia Heelan beeen Tees 6 59  O34 MASK r c ewan retl deat Poteet E T Dieta cent Re nemen A deen meee Meet 6 61  6 35  MATERIAL  ree rtan ive ori een toys oe eid xcanae elds Stan eae etite ved er aE A N 6 62  6 36  METHOD 5 00 Serenata n Er EnA DLEA ETUE EAA ET Case ontsesd ew areewebatas ass 6 64  6 37  MOMENTS ok irota a eaae a a a a eaa a keia ha Enia 6 70  6 38  OPTICAL saa AAE A ATREA ECAA AA 6 72  039 OP TON a E US eet a A E A AN a A AOE 6 73  6 40  OXIDE ri ig sie eect tee seem ie edge A a Ma GARAE Oa 6 74  6 41  POLISH  ieoi a Eiaa Ea AE A Gn EEEE A nd Et AAE ET owe aA a 6 78  6 42  PRINTI D yorini a a a hs E wa wc E See ae ee E wee eee 6 79  6 43  PRINTE ee a aa ea r a a a aaa a a a eA EA E aa tol aa  ate kate S 6 80  6 44  PROFILE p irene a a a a a a a a S 6
415. ine or change the intensity transmittance and phase  transmittance of an annular zone inside the exit pupil of the illumination system  This qualifier is  used to simulate spatial filtering techniques  IN RADIUS and OUT RADIUS are used to define an  annular zone in the exit pupil having the pupil transmittance equal to TRANSMIT and producing the  phase angle equal to PHASE  Radius values are specified in fractions of unity  and phase is specified in  degrees  Note that the annular zones should not overlap  The outer radius of an inner zone must be  smaller than the inner radius of an outer zone           PHASE specifies the phase shift in degrees produced by the illumination source filter   180    lt  PHASE   lt  180        TRANSMIT specifies the intensity transmittance produced by the illumination filter  0  lt  TRANSMIT   lt 1      CLEAR FIL resets the illumination source filter list        Example    The following example defines a SHRINC illumination source  where the quadruple circular  illumination sources are located at 45   to the x axis with the center at a radius of 0 2 from the origin  and a circle radius of SIGMA 0  2     ILLUM FILTER SHRINC RADIUS  2 SIGMA  2 ANGLE 45             Silvaco 6 41    ILLUMINATION    ATHENA User s Manual       6 26  ILLUMINATION    ILLUMINATION specifies the basic illumination parameters in OPTOLITH     Syntax    ILLUMINATION   I LINE G LINE H                 LINE  KRF LAS              X TILT  lt n gt    Z TILT  lt n gt             INT
416. ined in the METHOD statement would invalidate the  remainder of the following section        Calibrating a bipolar process flow entails matching the two parameters  base current and collector  current versus base emitter voltage to measure results throughout the full operating range of the  device  By implication  the current gain of the device  Ic Ib  will also be matched  All of the following  paragraphs refer to the standard plot of collector and base currents measured against the base emitter  voltage  Vbe  unless it   s specifically stated otherwise  This standard IV graph is usually referred to as  the Gummel Plot     Another way of plotting the same information in a different format that can prove useful is a plot of  current gain  hfe  versus the log of the collector current  This graph  however  is a derivation of the  same information that makes it less clear as to which current is increasing or decreasing for each  change  Therefore  a less useful graph when it comes to understanding exactly what is happening to  the collector and base currents     The full operating range of a bipolar junction transistor  BJT  consists of three general regions defined  by the current density injected into the base  These three operating regions are usually described as  low  medium  and high current injection regimes  The medium injection region is the most important  part of the curve to model correctly as this represents the typical operating condition of the BJT  Each  of the t
417. ing and surface diffusion effects  SIGMA DEP parameter   This new model is called USER DATA 2   The necessary parameters are in an ASCII input file of the same form as the USER DATA 1 model   Angle and deposition rate are the input values in the file where the deposition rate is taken as a rel   ative deposition rate and the overall deposition rate is determined by the DEP RATE parameter     SUBSTEPS has been added to the DEPOSIT statement  This parameter controls the number of  steps made for each division of the deposit  This parameter is very important in terms of shadowing  effects as these effects are calculated every time there is a change in SUBSTEPS or DIVISIONS  In  general  the larger the number of SUBSTEPS the more accurate the calculation  However  a large  number of SUBSTEPS also increases calculation time  SUBSTEPS   1 is useful for the  USER DATA 2 model if there are a large number of points in the ASCII input file  This will speed  up depositions made with this model and will not affect the accuracy of the shadowing as shadowing  effects are calculated for each point in the ASCII input file  The default value for SUBSTEPS is 8        Silvaco D 19    ATHENA User s Manual       D 13 3  FLASH Capabilities    For the new materials  AlGaAs  InGaAs  SiGe  and InP  implantation and diffusion models were  enabled  Currently diffusion in AlGaAs  InGaAs  and InP have the same parameters as GaAs as  specified in the model file  SiGe uses the parameters for Si  again as s
418. intrinsic pair diffusivity terms     Pair charge states beyond two are unlikely to occur  which is why they have been omitted  Also  for  most dopants it is seldom that more than three of the terms above are non vanishing                          Table 3 2  Table of intrinsic pair diffusivities for different pair types  Pair Charge State A seal Activation Energy  AV x DVX 0 DVX E  AV   DVM 0 DVM E  AV   DVMM 0 DVMM E  AV   DVP 0 DVP E  AV    DVPP 0 DVPP E                         Silvaco 3 5    ATHENA User s Manual                            Table 3 2  Table of intrinsic pair diffusivities for different pair types  Pair Charge State aaa Activation Energy  AI x DIX 0 DIX E  AI   DIM 0 DIM E  AI   DIMM 0 DIMM E  AI   DIP 0 DIP E  AI    DIPP O DIPP E                      Note  Since the point defect populations are by definition assumed to be in equilibrium in the Fermi model  there are no  separate continuity or boundary condition equations for these species  Additionally  neither the vacancy concentration  C   nor  the interstitial concentration  Cy  appear explicitly in Equations 3 9  3 10  or 3 11     3 1 3  Impurity Segregation Model    In multilayer structures  dopant segregation across material interfaces must be considered  Such  interfaces can represent either a solid solid interface or a gas solid interface  the surface      Interface segregation is modeled empirically by a first order kinetic model for the interregional flux     S    C  F Sigh  hee  3 12  2    where   
419. ion   Etching in complicated structures  latch up etc    memory allocation  and freeing  bugs eliminated       D 24 Silvaco    ATHENA Version History       Syntax Changes   REGRID and layout interface related syntax for INITIAL statement has been  removed     D 17  SSUPREM4 Version 5 1 4    Version 5 1 of SSUPREM4 incorporates a number of new models as well as convenience features  and  numerous bug fixes     Eliminated a bug in the PRINT 1D statement for structures including BARRIER material     The memory requirements for SSUPREM4 were reduced dramatically through a change to the maxi   mum number of materials and regions allowed in a simulation     Boundary conditions bug fixes eliminated some difficulties during TWO DIM diffusions     D 18  SSUPREM4 Version 5 1    Version 5 1 of SSUPREM4 incorporates a number of new models as well as convenience features  and  numerous bug fixes     PREDICT2 Feature Incorporation   As part of an ongoing collaboration with the Microelectron   ics Center of North Carolina  Version 5 1 of SSUPREM4 is coupled with initial model implementa   tion of PREDICT2  The models in PREDICT2 are the most accurate available for high concentration  diffusion  Rapid Thermal Processing  RTP   and Transient Enhanced Diffusion  TED   The use of  these models is described in the DIFFUSION and METHOD statement descriptions and in the Ref   erence Manual     DeckBuild example facility added   A set of standard examples for SSUPREM4 and other SIL   VACO simulator
420. ion has been correctly tuned  the process and device simulators should have  predictive powers  To check the validity of the tuning process  use a new set of electrical data that was  not used during the tuning process  For example  a good alternative set of data is to check the  threshold voltage versus gate length for a non zero voltage applied to the MOSFET body contact     Conclusion    Using just one set of easily obtained measured electrical data  namely a plot of threshold voltage  versus gate length  you can obtain most of the tuning parameters required for accurate process  simulation  The other most important piece of data required is an accurate measurement of the gate  oxide thickness  which is routinely measured in any instance     You have been given specific advice as to which process and device models to use for each process in  order to get the best results out of the simulation software  In particular  the correct use of models for  the implantation and diffusion processes is stressed  as this has a dramatic effect on MOSFET  characteristics  especially as anneal times and device dimensions decrease        Silvaco 2 47    ATHENA User s Manual       2 6  Calibrating ATHENA for a Typical Bipolar Process Flow    As with MOS calibration text  we assume you are familiar with the mechanics of making an input file  and using the correct methods and models  see Section 2 4     Choosing Models In SSUPREM4      For  example  incorrect selection of diffusion models def
421. ion mechanisms  These mechanisms dominate the interpretation of silicon diffusion  experiments with the exception that in silicon there is a very wide energy range available to the Fermi  level  Therefore  a given lattice defect can appear in a variety of ionized states  The fundamental  principles of thermodynamic predict that such defects will exist in equilibrium at all temperatures    above 0   K  because the presence of such defects minimizes the free energy of the crystal  The entities    Vee and i are the equilibrium defect concentrations for vacancies and silicon self interstitials in       3 24 Silvaco    SSUPREM4 Models       their neutral charge state  The weight factors y and 6 account for the different charge states for  distribution of point defects under extrinsic conditions  Each y and 6 is assumed to be temperature  dependent through Arrhenius expressions     For point defects  V or J   five various charge states are considered     E MAP   MAY    n 3 73  where s is one of the charge states  2   1  0  1  or  2  All parameters y and 6 are specified in the charge  state statements of the defect  mod file     With this consideration  the equilibrium concentrations V    and I    are estimated as    eq 0 ay   1 0 gay  2 i   ae  74  i leq  gt  n     Veg ye n 3  Under intrinsic conditions  i e   n p n    the equilibrium concentration can be simply written as       1   A 5 Py ae yo 3 75    l l    Equilibrium concentration for vacancies and silicon self interstitials a
422. ion of the etch region  under the mask  The ANGLE parameter defines the slopes of sidewalls of the region  The bottom  line of the etch region is defined by vertical translation of the top boundary with undercut taken  into account           The ANGLE less than 90   results in trenches narrowing to the bottom  The ANGLE greater than 90    produces retrograde sidewalls  The UNDERCUT length is measured along the boundary line between  etched material and masking layer   In a special case when the etched material layer is sandwiched between two other layers  the  THICKNESS parameter is ignored and UNDERCUT is applied to both the upper and lower boundaries  of the etched material layer                         All regions of a particular material may be etched by specifying the ALL parameter of the ETCH    statement     When a region is defined in one of the first three ways  By default  all materials in the defined region  will be etched  Specifying a material in the ETCH statement limits etching to only that material within  the defined region  For a complete description of physically based etch models  see Chapter 4     ELITE  Models     ELITE is a complete 2D topography simulator included in the ATHENA framework           Silvaco    SSUPREM4 Models       3 8  Compound Semiconductor Simulation    ATHENA allows you to simulate basic technological processes in compound semiconductors  The set of  standard compound materials includes  GaAs  AlGaAs  InGaAs  and InP  Additional user
423. ion of the light intensity over the  resist surface  The real resist exposure with the light absorption into resist  reflections from materials  interfaces  and following photo chemical resist modifications are considered the same way as for the  projection printing        Silvaco 5 15    ATHENA User   s Manual       The common wave equation is    Verve   EE  E  PRO 5 45  Ox  Oy or    where E is the electromagnetic field and k is the wave vector     Because the normal incidence on the mask is considered and propagation of light from the mask to  resist surface is to be calculated  it is convenient to represent    E r    A r exp ikz  5 46    where A is amplitude of electromagnetic field and z is direction along the light propagation     Substitution from Equation 5 46 into Equation 5 45 gives    GA  GA  ZA  2   0 5 47  ao y o   Obviously  A is modified with z weakly  Therefore  you can neglect the third term in Equation 5 47  As  the result  Equation 5 47 can be rewritten as    a ey  0 5 48  ae Oy    Now Equation 5 48 looks as a diffusion equation with complex diffusion coefficient  where z replaces  diffusion time  It means that the propagation along the z direction can be formally considered as a     diffusion    of complex amplitude A in the x y plane with a complex    diffusion coefficient        The known 6 solution of traditional diffusion equation  ft 14 rn   0 5 49  aw ow r  is as follows   1 y 4 2  fo    exp A 5 50  The substitution in Equation 5 48 results in     Ag 
424. ion step when point defects remain at their  equilibrium values  FERMI  and when point defects are allowed to obtain non equilibrium values  TWO DIM   It is evident from  Figure 3 19 a  that boron diffusion is enhanced for the TWO DIM case  The corresponding interstitial concentrations are shown  in Figure 3 19 b   The interstitial concentration is above the equilibrium interstitial concentration for the TWO DIM case  thus   allowing oxidation enhanced diffusion to be observed  but remains at equilibrium for the FERMI case     TonyPlot   2 6 9    i  f   f  5  oO  5  5  fr     Interstitial Concentration   cm 3            02 04 closer  ae ee LE ts Z          SILVACO International 1996    Figure 3 19   a  Boron Concentration Versus Depth  b  Corresponding Interstitial Concentration Versus Depth    You can also have a diffusion retardation effect during thermal oxidation  For dopants diffusing  primarily through a vacancy mechanism  you can reduce their diffusivities during oxidation because of  the recombination of vacancies with injected interstitials at the SiOv Silicon interface  Figure 3 20  shows an example of this phenomenon     Figure 3 20 a  shows the resulting antimony concentration profiles after an oxidation step where the  FERMI and TWO DIM models were used  In contrast to boron  Figure 3 19 a    the resulting antimony  concentration profile is shallower for the TWO  DIM case when compared to the FERMI case           Figure 3 20 b  shows the reduced vacancy concentra
425. ion were  not independently accessible from those for silicon oxidation  Experiments have shown that polysil   icon oxidation can be significantly different from silicon oxidation  All coefficients for oxidation are  now accessible independently for silicon and polysilicon oxidation  By default  the rates for polysili   con and silicon oxidation are the same     Geometric Mode Added   The capability to specify at initialization that a simulation is to be per   formed without impurities has been added as a parameter on the INITIALIZE statement  This  specifies the so called geometric mode that describes all material layers but produces no impurity  information  speeding up SSUPREM4 execution time immensely        Silvaco D 25    ATHENA User s Manual       Coarse Grid Mode Added   The parameter SPACE MULT has been added to the INITIALIZE  statement to globally manipulate the initial grid specification for SSUPREM4  Setting the value of  SPACE MULT to a value greater than one will increase the effective value of each of the spacing  parameters on preceding LINE statements  This gives a quick way to globally reduce the grid den   sity in a SSUPREM4 simulation for reduced simulation time for preliminary analyses     Full Rotation Capability Added To IMPLANT Statement   Full rotation for implant can now  be specified on the IMPLANT statement  Specifying the FULLROTAT parameter will perform  implantation at the specified tilt angle from all rotation angles as would occur with a rota
426. is set  to true by default     Stretch Examples    The following statement will stretch a device about the center of its polysilicon region  This device can  have been a MOSFET with a polysilicon gate 1 micron long  The STRETCH command creates a 1 8  micron long MOSFET in this case           STRETCH LENGTH 1 8             The following example will stretch an oxide isolation structure from the x position of 2 3 microns by a  value of 1 3 microns  The stretched region contains 14 grid spaces  This case can be useful for  generating large isolation regions that take too long to simulate numerically     STRETCH OXIDE X VAL 2 3 DIVISIONS 14 STRETCH VAL 1 3             Note  The stretch function may not be valid or physically correct in the case of very short initial structures  e g  with RSCE  effect in MOSFETs   The location selected for stretching should correspond exactly to a grid line for best results  It will provide  best grid quality if the stretch location does not touch areas in which the grid has been relaxed  The STRETCH command often  results in grid failure for complex structures and is not recommended for complex topographies  DEVEDIT provides a superior  stretch feature for these cases           Silvaco 6 105    STRIP ATHENA User s Manual       6 62  STRIP    STRIP removes all photoresist and barrier materials   Syntax    STRIP   MATERIAL        Description    MATERIAL specifies the material to be stripped  see Section 6 2 9     Standard and User Defined  Material
427. istribution in both cases with and without taking into account dose to n effect is specified in  the EXPOSE statement as NUM  REFL  The maximum dose that corresponds to completely exposed resist  is specified with DOSE parameter                                            The examples below show how to use the OPTICAL and EXPOSE statement to specify parameters for  the exposure module     Example  Resist exposure with accounting dose effect                      OPTICAL NAME RESIST CURREN_RESIST I LINE REFRAC REAL 1 4 REFRAC IMAG 0 02  DELTA REAL  0 2 DELTA  IMAG 0 01  EXPOSE DOSE 200 NUM REFL 5    Example2  Resist exposure without accounting dose effect    OPTICAL NAME RESIST CURREN_RESIST I LINE REFRAC REAL 1 4 REFRAC IMAG 0 02  EXPOSE DOSE 200 NUM REFL 5                                                                                                          Silvaco 5 11    ATHENA User   s Manual       5 5  Photoresist Bake Module    Post Exposure Baking  PEB  of the photoresist has been demonstrated to dramatically reduce  standing wave fringes of the developed resist image resulting from optical interference of  monochromatic illumination  This effect is generally accepted to be a result of bulk diffusion of the  PAC and photo reaction products     The simple physical model  which is adopted here to describe the PEB  is that just one chemical  constituent of the resist diffuses  This constituent is generally assumed to be PAC or the dissolution  inhibitor  which diffuses ac
428. itial is calculated by the following empirical formula     1   B        En    Alog  1 oF J   Ee    a  3 112    The empirical parameters of the model A  B  C and Boe are specified in the ic mod file  Thus  the    system of coupled equations for the IC model can be written as                 N  oe        V J   GRy   GR 47  2GRic2       GR icin   n 3  ot  a   Ve Jy   GRiy   GRyy   tot  OAI 2  ao      Vid jp GR gy GR is ate  t  eav    z     V Jiy    CRay   GR ys  OA  oe   GRy    GRay    GRyy_     GRy _ p gt   a       GRicm CR oaa pp n  2 3     N        3 32 Silvaco    SSUPREM4 Models       where the generation recombination term     es am ae 3 114  n n nn    CRicmn  a    It is important to notice that the IC model is completely independent of the dopants involved in the  process     3 2 4  Vacancy Cluster Model  VC     In the VC model  a cluster containing m vacancies  V     evolves to a cluster of size m 1 by interaction  with a free vacancy according to the following reactions     K  K   Y Vvsyvs  W Payv   zi Vn rere 3 115  ki k  E  where   V  E RE  EV Elmh _ _  K    4R Dy Pes o enco     E SD a Me 3 116    Here  Re represents the effective capture radius and the elementary jump length    is equal to the    inter atomic distance  0   is the number of dissociating sites  Hy is the vacancy formation energy    defined in Equation 3 76  and Em  is the formation energy per vacancy for clusters of size m     To take into account the interactions VC with interstitials  the following re
429. l          2 1  Getting Started    This chapter is to help you start using ATHENA by providing a step by step tutorial centered on a  typical process simulation sequence  It explains how ATHENA uses the VWF INTERACTIVE TOOLS  i e    DECKBUILD  TONYPLOT  MASKVIEWS  DEVEDIT  and OPTIMIZER   These tools make ATHENA easier to use  and they provide visualization and interface capabilities with other Silvaco tools  This tutorial  assumes that you are familiar with the basic features of the VWF INTERACTIVE TooLs  For more  information about these tools  see VWF INTERACTIVE TOOLS USER   S MANUAL     This chapter begins by explaining how to start ATHENA and continues with tutorials on how to use the  program  We recommend that you read Section 2 3     Creating a Device Structure Using ATHENA     before you move on to the section appropriate to the particular tool you will be using  This section  explains how to start ATHENA  how to load and run standard examples  and how to use the ATHENA  online help facility     The following explanations assume that ATHENA has been properly installed  See the SILVACO  INSTALLATION  MIGRATION AND TROUBLESHOOTING GUIDE if you encounter installation difficulties     2 1 1  Running ATHENA Under DeckBuild    DECKBUILD is an interactive graphic environment that is used for the following purposes   e Generating input files for process or device simulation or both   e Running simulations interactively   e Interfacing between different simulators   e Invoki
430. l  describing dose loss at  silicon oxide interface  Units for TRNDL  0 are cm sec  units for TRNDL E are eV           Polysilicon Diffusion Model Parameters    PD DIX 0 and PD DIX E specify impurity diffusivity along grain boundaries  PD DIX 0 is the pre     exponential factor of grain boundary diffusivity  units are cm  sec   PD  DIX E is the activation energy  for grain boundary diffusivity  units are eV         PD EFACT specifies entropy factor of grain boundary segregation coefficient   PD SEG E specifies the activation energy of grain boundary segregation coefficient  Units are eV     PD TAU specifies the grain boundary time constant  Units are seconds   PD SEGSITES specifies density of segregation sites at grain boundary  Units are sites cm      PD GROWTH 0 specifies the grain growth rate pre exponential coefficient  Units are eV cm  sec   PD GROWTH E specifies the grain growth rate activation energy  Units are eV     PD CRATIO specifies initial ratio between impurity concentration in grain boundaries and total  concentration     PD SEG GBSI specifies the factor which controls segregation between polysilicon grain boundaries  and Silicon     Examples    The following statement changes the neutral interstitial diffusivity component of phosphorous in  silicon     IMPURITY I PHOSPHORUS SILICON DIX 0 3 85 DIX E 3 85       The following statement changes the segregation parameters at the silicon silicon dioxide interface   The concentration of phosphorous in silicon will be
431. l sub micron CMOS process  ELITE models might be required for   e Trench isolation   e Spacer formation   e Reflow of oxides over non planar surfaces   e Metal to active area contact cuts   e Metal deposition over step   e Inter metal dielectric formation   In general  ELITE should be used for any etch process with a degree of isotropy  since perfectly    anisotropic etches can be handled geometrically in SSUPREM4  For deposition processes  ELITE is  appropriate when the deposition is significantly non conformal        C 6    Silvaco    Hints and Tips       Many topography simulators exist  but interfacing them to process simulation programs such as  SSUPREM4 has traditionally been a problem  Without the tight integration of ATHENA  the interface  has traditionally been one way  for example  creating a non planar topography such as a trench and  then using the surface to create the initial structure for a SSUPREM4 simulation      In ATHENA the bi directional interface between topography and process simulation is completely  automatic and transparent to the user     Figure C 3 shows this interface used to form a self aligned trench isolation for a sub micron CMOS  process  The initial part of the simulation uses SSUPREM4 to set up a LOCOS oxidation next to a nitride  spacer  ELITE is then used to remove the nitride and etch a trench into the silicon  SSUPREM4 is used  to oxidize the trench sidewalls  Then  the ELITE deposition models are used to fill the trench with  oxide  Fi
432. laces in the name of the file  so as to be able to movie diffusion effects during the initial short time  steps  Simulated Structure can be Truncated from a Side or from the Bottom by using NOEXPOSE  parameter in the ETCH LEFT RIGHT or ETCH BELOW statements     Alternative Model Files   With ATHENA V4 0 0 R  users may now select alternative model files  using the  modfile command option  The option argument names the alternative model file ATHENA  should use during the simulation  ATHENA V4 0 0 R is shipped with a new updated model named  smod96a  This file contains improved model parameters and its use is recommended        Silvaco D 13    ATHENA User s Manual       D 11  ELITE    Monte Carlo Plasma Etching   A new monte carlo plasma simulation function is available to  calculate the angular energy distribution of ions emitted from a RIE machines dark space sheath   Shadowing is calculated and etch rates over complex topograhical surfaces result  Sputtering  efficiency as a function of angle is also controllable     Doping Concentration Dependent Etch Rate   A doping level etch rate enhancement factor allows  user control over the relative etch rates of doped materials  This function is unique to the mesh based  ATHENA product and can not be treated with a simple string based tool        Stress Dependent Etch Rate   Etch rates may be enhanced as a function of material stress   Oxidation induced stress creates defectivity in materials that will increase the local etch rates  
433. late the image in the case of contact printing  The  GAP parameter enables the model and specifies the mask to wafer gap     D 7 4  ELITE Capabilities    The ELITE etching algorithm has been improved  Now  if the ETCH RATE parameter for a material  specified in the MACHINE statement is equal to zero or is not specified all regions for this material  it  will not be changed during all etch process steps  which utilize this machine     D 7 5  Miscellaneous Features and Bug Fixes    1                 The C interpreter capability in the DIFFUSE statement has been removed  This capability will be  re implemented and expanded  using a newer  more flexible and extensive SILVACO  C INTERPRETER     The standard tables for BF2 implants were extended down to 1 keV     Fixed a bug in Pearson VI function which occasionally resulted in a non physical tail in case of  high energy implants in photoresist     The flip triangle procedure after etching  deposit  and epitaxy steps has been removed     The bug in saving and loading standard structure files after using the POLY DIFF model has been  fixed     The bug in separation of floating and substrate oxidizable regions has been fixed  This bug use to  distort the substrate in some structures obtained from DevEdit     D 8  ATHENA Version 5 2 0 R Release Notes  D 8 1  lon Implant BCA Model    1     aon Fw S    Considerable speed up for 2D simulations    Profile smoothing capability is available after BCA implant   The PRINT  MOM parameter now w
434. late them through the  experimentally known intrinsic diffusivities D4  and the interstitialcy component fz  The p   and    pP y parameters are defined as an Arrhenius functions     Finally  the flux equations  for instance  for boron  point defect pairs are defined as follows     xe EF B    J   J   D 4 x 3 91  Bi   2 Br BI    pst  s1   s Kyr    Y  s  isk A  BENN      l    ABT     pf  s ae    x   2 Sy fs P    S                Sark   2  3     S    J fot   ee ae p st 51     Se  a      3 92    Bv n   l  aBy  Bys Ap    K  2  73  P  BV Ni    S    where s  s 1     The equations for pairs formed by donor impurities are completely symmetrical to the equations  above        3 28 Silvaco    SSUPREM4 Models       Generation Recombination Terms    The generation recombination terms GRy in Equation 3 82 describe the evolution of particular  reactions  For example  GR 4y represents the formation of the dopant vacancy     A  VED AV 3 93  kK    AV  Formation of Pairs    In the model  the formation of dopant defect pairs is taken into account by simulating the following  reactions     Pi 3 94  vi  A   P oa   kar    Ky  StV osm 3 95  ky    where k    and k    are the reaction kinetic constants for each reaction  They are define as    K    42RD  k  K o  K 3 96  Ky   40RD  Kay   Kay K  p 3 97    where K4     Kay  are defined by Equation 3 81  and R   is the silicon lattice constant  Thus  the  generation recombination terms in Equation 3 82 are as follows     GR    KA  P oR GAL  3 98    GRyy   K
435. lated   Diffusion parameters for epitaxial silicon  however  are considered the same as for single crystal  silicon     The epitaxy process is defined in the ATHENA Epitaxy Menu  Figure 2 32   To open this menu  select  Process   Epitaxy in the Commands menu  The ATHENA Epitaxy Menu consists of five sections     e The Time temperature section selects temperature step parameters in the same way as in the  DIFFUSE statement        e The Thickness rate section selects either the total thickness of the epitaxial layer  or the deposit  rate in microns minute  In the latter case  the total thickness will be determined by the rate and  time     e The Grid Specification section specifies the vertical grid structure within the grown epitaxial  layer  All grid parameters are equivalent to those of the ATHENA Deposit Menu   See Figure 2 12         2 40 Silvaco    Tutorial       The Ambient section is where the gas pressure can be modified to the value used in the Epitaxial    Chamber     The Impurity Concentrations section specifies the growing epitaxial layer in the same way as    in the DIFFUSE statement        All parameters in the last three groups are optional  If the parameters of an epitaxial step are set  exactly as shown in Figure 2 32  the following statement will appear in the input file                            EPI LAYER  EPITAXY TIME 30 TEMP 900 T FINAL 1000 THICKNESS 5 DIVISIONS 20    DY 0 05 YDY 0 00       Note  The diffusion during the epitaxy process will use the Diff
436. lation Features    1   2   3     Three types of silicon carbide materials are added  SIC_6H  SIC_4H  and SIC_3C  BCA implantation model for the silicon carbide materials is implemented      BCA implantation model for two superconductor materials Ba2YCu307 and Ba2NdCu307 is  implemented     Silicide Simulation Features    1   2     Two or more metal silicide pairs can be simulated simultaneously     The volume reduction effect is now specified by two volume ratio parameters ALPHA for silicide   metal and silicide silicon  or polysilicon      Cobalt and CoSix materials are added     User defined metals and silicides specified by parameters MATTYPE and  MATTYPE in the  SILICIDE statement will be recognized as electrodes in the ELECTRODE statement                                Silvaco    D 5    ATHENA User s Manual       Etch and Deposition Features    1     It is now possible to simulate deposition or epitaxy of the layers with linearly graded impurity or  point defect content  New parameters F BORON  F PHOSPHORUS     F  INTERST  F VACANCY are  added to the DEPOSIT and EPITAXY statements                 D 5 2  ELITE Capabilities    1     Fixed dopant enhanced etching in ELITE  It is applicable for all etching machines except Monte  Carlo Plasma Etch  All impurities are now explicitly specified in the RATE DOPE statement                 D 5 3  OPTOLITH Capabilities    1              Time units parameters SECONDS  MINUTES  and HOURS are added to the BAKE statement     D 5 4  Misc
437. lation and Measurement of Density Variation in Mo Films  Sputter Deposited Over Oxide Steps     J  Vac  Sci  Technol  v  A8  p  1593  1990     93  S F Meier  Etching Simulation Of Nonplanar Layers  M S  Thesis  UC Berkeley  1987     94  J L Reynolds  A R Neureuther  and W G Oldham     Simulation of Dry Etched Line Etched Profiles      J  Vac  Sci  Technol   v  16  p  1772  1979     95  A R Neureuther  C Y Liu  and C H Ting     Modeling Ion Milling     J  Vac  Sci  Technol   p  1167  1979     96  S  Takagi  K  Iyanagi  S  Onoue  T  Shinmura  and M  Fujino   Topography Simulation of Reactive  Ion Etching Combined with Plasma Simulation  Sheath Model  and Surface Reaction Model    Japanese J  Appl  Phys   v  41  no  6A  p  3947  2002     97  P Sutardja  Y Shacham Diamand  and W G Oldham     Two Dimensional Simulation of Glass Reflow  And Silicon Oxidation     VLSI Technology Technical Digest  p  39  1986     98  P A Burke     Semi Empirical Modeling of SiO2 Chemical Mechanical Polishing Planarization     Proc   VMIC Conf   p  379  1991     99  J Warnock     A Two Dimensional Process Model for Chemimechanical Polish Planarization     J   Electrochem  Soc  v  138  p  2398  1991     100 B M  Watraslewicz     Image Formation in Microscopy at High Numerical Aperture      Optical Acta  v   12  p  167  1965     101 B  Richards  E Wolf     Electromagnetic Diffraction in Optical Systems II  Structure of the Image  Field In An Aplanatic System     Proc  Phys Soc   v  A 253  p  358  1959  
438. lation is projected on  which is  what finally goes into ATHENA    structure  The orientation of the simulation plane is specified by the  ROT SUB parameter in the INITIALIZE statement  By default  the simulation plane is oriented along    equivalent  lt 101 gt  direction  ROT  SUB  45        In summary  the laboratory coordinate system used in the BCA implant simulation is right hand sided   Y is depth  X is the other co ordinate and Z is from the observer  The azimuth angle is measured in the  X Z plane  ROT  SUB is relative to X  Simulation plane is X    Y     The simulation plane  where TONYPLOT displays results and ATHENA calculates  is always parallel to  the major flat  which is specified by ROT   SUB   90    lt  ROT  SUB  lt  90        The implant calculation in bulk is 3D  Ray tracing for BCA  i e   calculation of ion impacts  scattering  from walls and re implantation  is 2 5D  In other words  structure is infinitely extended in the third  dimension  along Z   All simulation results  doping  damage  are projected on the simulation plane and  appropriately scaled     ROTATION is measured from the major flat and in ATHENA    case from the simulation plane because it  is coupled with the wafer   s major flat     For silicon  and other crystalline materials   you can think of TILT ROTATION as always relative to the  simulation plane giving the same shadowing effects while ROT SUB defines which direction the  simulation plane will slice the crystal structure through  in 
439. lation of phosphorus predeposition at 900  C during 1 hour with various surface concentration    Squares  pluses  and crosses are experimental data from  26      As our simulations prove  the PLS model accurately reproduces the experimental profiles features   Particularly  the simulated profiles exhibit the enhanced tail with more or less pronounced inflexion in  the surface region  This inflexion is the result of the strong coupling between the defect gradients and  the dopant        3 38 Silvaco    SSUPREM4 Models       Cowern   s Experiment    To validate the IC model  we first try to compare our model prediction with the experimental data  obtained by Cowern et al   20   Briefly described  this experiment consists of observing the diffusivity  of two boron marker layers after a silicon implantation at 40 keV to a dose of 2   10   atoms cm    simulated in the left hand side from Figure 3 5   From these observations  Cowern et al  have  estimated the diffusion enhancement and the evolution of supersaturation with time  On the right  hand side of Figure 3 5  the PLS simulation of the supersaturation evolution is shown     File     View  Pite  Tools     Print     Properties     Help e bw       rint    Properties    Help                                                                   al Boron Diffusion in Cowern experiment i  COWERN EXPERIMENT  ele Complete simulation Evolution of the seff interstitial supersaturation  19  zj Experimental Data at   Experimental data at  600
440. lax algorithm works only with rectangular base grid   e it never eliminates grid lines adjacent to a region boundary     e the relaxed area should be at least five by five grid points        Silvaco 2 23    Within silicon  each second horizontal line is eliminated  The lower part of each second vertical line is  also eliminated  This happens because the algorithm doesn   t allow the formation of obtuse triangles        ATHENA User s Manual                in _direction          SILVACO International 1994 4    Microns  Microns       Relax entire grid                                        Relaw lower holf ont                                        NANI    BUSI    T  4  Lal   gt      2       gt                  EEEE  AANA es  NARAANANAANS  ARERR  ERASERS CS  PARAS IAS  RANMA  RASA  aD Sa S   NaS A T SE Tea  aN E S   EEES  PERE    EREET  RRR RERE E  ERTS  RAMAN  AAAS AALAND Ea  ANAS AAAS     ogg 8 8 dg ag re      ebruotures    Microns  Micrans    Before relox       Relox lower half of the                                 File vj  i View F C Plot 7    Tools 7    Print 7    Properties 7    Help 7     CUS IA BUSS y          Silvaco    d leave   0 3    ion an    irect  1 00 Y MIN    T DIR  YSE    F  which prevents elimination in Y     0 3 remains intact  see the plot in    directions happens only below y 0 3     1 00 DIR X       0 3  choose Selected and set all four boundaries in the    LAX statement   0 3 Y MAX    F    am       CTION RELAX X MIN 0 00 X MAX       F    ama       T  the
441. le  to    run a third party program that reads and writes Silvaco format files with the fixed  and output str        STRUCTURE OUTF mysave str    names input str    system mv mysave str input str  source myprog exe  mv output str       myrestart str    INIT INF myrestart str    The UNIX re direct symbol   gt   is not supported by the system command  The UNIX echo and sed  syntax can be used instead to output values or variables to a given filename  For example  to save the    extracted value of the variable   myvariable  to the file called myfile     system echo S    myvariable      sed  n    w myfile          Silvaco    6 109    TONYPLOT    ATHENA User s Manual       6 65  TONYPLOT    Tonyplot    starts the graphical post processor TONYPLOT     Note  The Tonyplot statement is executed by DECKBUILD  which is fully documented in the VWF INTERACTIVE TOOLS  USER   S MANUAL  VOL  I     Examples    All graphics in ATHENA is performed by saving a file and then loading the file into ToNyPLor  The    command    tonyplot    causes ATHENA to automatically save a file and plot it in TonyPLoT  The ToNYPLOT window will appear  displaying the material boundaries  Use the Plot Display menu to see more graphics options     The following command will display the myfile str file     tonypl    lot  st myfile str    The following command will overlay the results of myfilel str and myfile2 str        tonypl    Note  For do    lot  overlay myfilel str myfile2 str    cumentation of the extensive fe
442. lf interstitials and vacancies     m    Parameters D  Dy   E  and Ey  are defined in the defect mod file     Finally  taking into account that np   n  and    s  ea 9  _ a I 3 86    the flux equations for I    and V     are defined as follows     f A       za ot ots          An f  Jo    I    D P f 3 87    kY SA  Pe  a     y   D  D SOC me ci 3 88    Dopant  Defects Pairs    The diffusion of dopant  defect pairs implies on the fact that diffusivities Di and Di  are calculated  from the basic parameters for the pairs defined by Equation 3 77 through 3 81 as follows     i ees   q Ves 2 we q 3  Di   fDi YP Ae D    U fpD4  20 yay  3 89  where fy is the interstitialcy component under intrinsic conditions  and D4  is the intrinsic diffusivity    of the impurity A  The fy and D4  parameters are defined for each dopant in the corresponding  dopant  mod file        Silvaco 3 27    ATHENA User s Manual       It should be emphasized that several relationships exist between this various parameters  Therefore   you can decrease the number of free fitting parameters  From physical point of view  it can be safely  assumed the ratio between the diffusivities of the various charge states must be equal  Consequently   we have    D Dus   D  gt  De    Al AI AV AV       AY   _AV     3 90  ie  Pip F  Piy   AI AI AV AV          where s 1 for donors and s   1 for acceptors  These diffusivities are free parameters when the various  coupling parameters in Equation 3 81 are known  Therefore  you can calcu
443. lity factor                 WETO2 and DRYO2 specify whether the viscosity parameters are for wet or dry oxidation  These  parameters are valid only if the specified material is oxide     YOUNG M specifies the Young   s modulus for the material in dyne cm  This parameter is used in  stress calculations and also with the compress model for oxidation  Also see METHOD LIFT POLY         POISS R specifies the Poisson   s ratio for the material  This parameter is used in stress calculations     LCTE specifies the linear coefficient of thermal expansion as a function of temperature  T   It is  expressed as a fraction rather than a percentage     INTRIN SIG specifies the initial uniform stress state of a material  such as a thin film of nitride  deposited on the substrate  It can be specified as a function of temperature by using an expression and  the variable T in   K        6 62 Silvaco    MATERIAL          OXIDIZABLE specifies that the material could be oxidized  If you set OXIDI ZABLE to TRUE  then all  oxidation related parameters for this material will be set equal to those specified earlier for Silicon   You can specify different values in the OXIDE statement                                Parameters Related to Material Characteristics used by Monte Carlo Implant    DENSITY specifies the density of the material in g em    COMPONENTS specifies number of atomic components in the material     AT NUM 1  AT NUM 2  AT NUM 3  and AT NUM 4 specify the atomic numbers of the constituent
444. lling list and press the Start MaskViews button   The MASKVIEWS window will then appear as shown in Figure 2 48        Silvaco 2 65    ATHENA User s Manual       Maskviews   2 6 5     mvanex01 lay  dir   export main mishat athman      Files v   Define v   Edit      Options      write file      Properties      ATHENA  z    5  te              Layers    WELL                                                                                                                                     AAD                            VIA    RES                         EN EN PC fC CC  ESE ele    minaman ajoaan                                                                                                                                                                                                                                         proncmmAam    P                                                                                                                                                                                                     1993 SILYACO International    Figure 2 48  MaskViews Window    This section will describe how to modify a grid specification for ATHENA  First  set the grid in the Y  direction by selecting Grid   Y    from the Define menu  Figure 2 49 shows the Vertical Grid Control  popup will appear     You can add  modify  and delete the lines for ATHENA initial rectangular grid exactly the same way as  using the ATHENA Mesh Define menu from DECKBUILD as previously d
445. llowing approach is realized  Non   linear dependence of n on dose is defined through the PAC concentration as    i N unexposed t GULL    Mpycl x        5 30    Here  Nynexposed is the complex refraction index of the unexposed resist  An Nexnosed    unexposed 18 the  difference between values of n for completely exposed and unexposed resists     Current intensity distribution is calculated after each simulation of direct propagation and all the  reflections from interfaces with BPM  Then  current Mpac and n x y  are calculated using   Equation 5 29  and  Equation 5 30  respectively for each point of the resist volume  The new values of  n x y  are used during next recursion of the field and intensity simulations  Thus  the resulting  intensity distribution is obtained as an accumulation of intermediate results     You can specify the optical properties of the simulated material in the OPTICAL statement  You can  specify the refraction and absorption indices for unexposed resist  and or for any other material  using       5 10 Silvaco    OPTOLITH Models                   the REFRAC REAL and REFRAC IMAG parameters respectively  To specify the difference of the  refraction index for the completely exposed resist from the unexposed one  use the DELTA REAL and  DELTA  IMAG parameters  If this difference isn   t specified  the effect of intensity on the resist refraction  index will not be taken into account during the simulation  The number of recursions to obtain the  intensity d
446. llowing are the lists of those SILVACO standard materials that  can be used in ATHENA as previously described     e Semiconductors     Fictive GaAs     AlInAs  AlAs   Alpha Si 1    Alpha Si 2    Alpha Si 3   Alpha  Si 4    AlxGal_xAs_x 0 25    AlxGal_xAs x 0 5    AlxGal_xAs_ x 0 75    InxGal_xAs_ x 0 50  Unstr    InxGal_xAs_x_0 33 Str GaAs    InxGal_xAs_ x_0 75 Str InP    AlxIn1 xAs_ x 0 50    Diamond  AIP  AlSb  GaSb  GaP  InSb  InAs  ZnS  ZnSe  ZnTe  CdS  CdSe  CdTe  HgS  HgTe   PbSe  PbTe  SnTe  ScN  GaN  AIN  InN  BeTe  InGaP  GaSbP  GaSbAs  InAlAs  InAsP  GaAsP   HgCdTe  CdZnTe  InGaAsP  AlGaAsP  AlGaAsSb  SiN  Si  CulnGaSe  InGaN  AlGaN  InAlGaN   InGaNAs  InGaNP  AlGaNAs  AlGaNP  AlInNAs  AlInNP  InAlGaAs  InAlGaP  InAlAsP  Penta   cene  Alq3  TPD  PPV  and Organic    e Insulators  Sapphire  Vacuum  TEOS  BSG  BPSG  PMMA  SOG  Polyimide   Cooling package  material   Ambient  Air  Insulator  Polymer  and ITO    e Metals and Silicides  Gold  Silver  AlSi  Palladium  Molibdinum  Lead  Iron  Tantalum  AISiTi   AlSiCu  TiW  Copper  Tin  Nickel  WSix  NiSix  TaSix  PdSix  MoSix  ZrSix  AlSix  Conductor   Contact  Ba2YCu307 and Ba2NdCu307                                     The generic name  MATERIAL specifies the second material in those statements  which specify  parameters related to the boundary between two materials        6 2 10  Standard Impurities    Different impurities can be specified as parameters in various statements  The generic name  IMPURITY appeared in a sta
447. llustration of the Hard Polish Model  Structure before Planarization    al    le Dxi gt   Ymax           Total X Distance zl          Figure 4 13  Illustration of Hard Polish Model  Structure after Planarization  A total amount of AY is always removed at each time step in the above fashion     You can mix the hard polish model with the soft polish model and isotropic etch component by  specifying the ISOTROPIC parameter of the RATE POLISH statement           4 22 Silvaco    ELITE Models       4 6 2  Soft Polish Model    The soft polish model is based on the work of J Warnock  99   It has four parameters  SOFT  LENGTH  FAC   HEIGHT FAC  and KINETIC FAC  SOFT is the polish rate on a flat surface  LENGTH FAC is the horizontal  deformation scale in microns  It is a measure of the polishing pad   s flexibility  It describes the distance  at which shadowing will be felt by a    tall    feature  HEIGHT FAC is the vertical deformation scale in   microns   This measures how much the polishing pad will deform with respect to the height of the  feature  KINETIC FAC increase the vertical polish rate as the surface becomes more vertical                       The following formula gives the polishing rate   K A    P            S     L    4 34    K  is the kinetic factor or horizontal component of the polish removal rate at point i  A  is the  accelerating factor of point i and is large for points that are higher and shadow other points  S  is the    shadow factor and decreases the polish r
448. lly Coupled Equations    The point defects  dopant defect pairs and active dopant evolve according to the following continuity                equations   ot  s    V J   GR   GR    GRyy_p  ot  i    V Jy GR y  GRyy   GR4r1 y   3 82  ON  V J4     GR4   GR  a   Vda GRay AI Vs  t  uy      A    V  Jiy    GRay   GRay_p  OA        GR    GRyy    GRay_1    GRay_y    Here  X       is the total concentration of the species X  and  A   is active dopant concentration in  substitutional position  J represents the flux of species X  and GRy is a generation recombination term  corresponding to the reactions that contain X    Flux Equations    Point Defects    As the migration rate of a given species may depend on its charge state  two distributions must take  into account in the flux equation  The first one is the Fickian term and the second is the Nerstian term   Thus  the flux can be written for a given species X with the charge state s     Ip Dy  ax    5x22  3 83       3 26 Silvaco    SSUPREM4 Models       For point defects  the PLS model makes the assumption that the diffusivity is independent of the  charge state at high temperature  This implies that for vacancy and silicon self interstitial at any  charge state s the following is always true     D    Ds  Dy   Dy 3 84  Moreover  it is assumed that diffusivities at high temperature follow the simple Arrhenius law     Dy    De exp  2L  3 85    D    p    exp   H IT    ia    where E  and Ep represent respectively the migration energy for se
449. lm of metal     4 3 1  Conformal Deposition    You can perform conformal deposition by specifying a material to deposit  a thickness  and a number of  vertical grid spacings on the DEPOSIT statement  The conformal deposition model produces unity step  coverage     4 3 2  CVD Deposition    To use this model  specify the cvD parameter in the RATE   DEPO statement as well as the material type   the deposition rate DEP  RATE  and step coverage  STEP  COV                                   The local deposition rate R x y  for the cvp model is given by  R x  y    DEP RATE  1     STEP COV  cos 0  STEP COV  4 1    where 0 is the angle between the surface segment and the horizontal     4 3 3  Unidirectional Deposition             To specify this model  specify the UNIDIRECT parameter in the RATE DEPO statement           As shown in Figure 4 2  the region of the substrate not shadowed sees the arrival of the vapor streams  in one direction only  The growth rate of the deposited film in the shadowed region is equal to zero   According to these assumptions  growth rate on the substrate R x  y  can be expressed as     R x y    0  if point  x y  is shadowed 4 2  R x y    Csinoi   Csino j 4 3  where     e isthe angle between the y axis and the direction of the vapor stream   e iandj are the unit vectors in the x and y direction respectively   e Cis the growth rate of an unshadowed surface normal to the vapor stream                    e Angle o is specified as ANGLE1 on the RATE DEPO command 
450. ls  This implementation removes the use of the rectangu   lar grid that is utilized in other versions of SSUPREM4 and that is frequently responsible for large  memory requirements during implant calculations  This model can be invoked by specifying the       D 26    Silvaco    ATHENA Version History       TILT parameter in the IMPLANT statement     e Speed enhancements for diffusion and oxidation calculations   Speed enhancements have  been incorporated that provide an overall speed improvement by a factor of two for typical diffusion  calculations     Monte Carlo ion implant model   Version 5 0 of SSUPREM4 introduces a fast Monte Carlo ion  implant calculation  This calculation is very general and because of significant developments in  modeling and computational techniques  is from 10 to 100 times as fast as similar calculations from  other sources  The model includes the following effects      Implant angle  tilt and rotation      Substrate damage and damage temperature dependence    Reflected Ions   Physical modeling of penetration through multi layer structures  This model can  be invoked by specifying the MONTECAR parameter on the IMPLANT statement     Non uniform grid capability   A non uniform grid can now be specified in the vertical direction  for either deposit or epitaxy process steps  This is especially useful for modeling epitaxial processes   This capability can be invoked by specifying the DY and YDY parameters as described in the EPIT   AXY and DEPOSIT statem
451. lt n gt    ABUND 2  lt n gt    ABUND  3  lt n gt    ABUND  4  lt n gt       REFLOW   GAMMA REFLO  lt n gt    NO FLIP    NIFACT SIGE  lt n gt    EAFACT SIGE  lt n gt    NIFACT SIC   EAFACT SIC                                                                       Description    MATERIAL specify the material for which all parameters apply  see Section 6 2 9     Standard and  User Defined Materials    for the list of materials      Parameters Related to Impurity Diffusion    NI 0  NI E  and NI POW specify parameters of the intrinsic electron concentration as a function of  temperature  NI  0 is the preexponential constant in the intrinsic electron concentration formula   NI E is the corresponding activation energy  NI POW is the unitless power constant  These  parameters are used only in diffusion calculation and not in EXTRACT electrical calculations                      EPS specifies the relative dielectric permittivity of the material  This value is used to calculate electric  field in semiconductors during diffusion simulation  This value isn   t used in EXTRACT electrical  calculations        E FIELD specifies that electric field term will be included in the impurity diffusion equations for this  material  The default is true     Parameters Related to Material Stress and Viscosity    VISC 0  VISC E  and VISC X specify the material viscosity parameters  VISC 0 is the pre   exponential coefficient  in gcm s   VISC E is the activation energy  in eV  VISC X is the  incompressibi
452. lues of l  m  and n describe the order of aberrations  while the coefficients W l  m n   determine the magnitude of the aberrations     The aberration coefficients up to the ninth order of aberration are specified in the ABERRATION  statement        For third order aberrations 1  m  and n take the values                                         1 0   m 0   n 2   spherical aberration  1 0   m 1   n 1 coma   1 0   m 2   n 0 astigmatism   l 1   m 0   n 1   field curvature   l 1   m 1   n 0 distortion   1 0   m 0   n 1 defocus    where isoplanatism is assumed for the particular section of the image field for which the irradiance  distribution is calculated  The coefficient Wo  can be determined from    hoot D   Woo1   g sna  5 16    where    refers to the distance of the defocused image plane to Gaussian image plane     The resulting amplitude in the image plane due to a wave coming from the point xo  Zo of the effective  source is    A XxXo Zou 5y      NX Zo  fa  X X9 Z   Zg    exp i ux   vZ  dxdz 5 17    where  u  v   refers to a point in the image plane  The irradiance distribution associated with the  illuminating wave of the effective source will then be represented by    2  dI Xo  29  U5 v     A Xo Zo sus v  dxodzo 5 18    Since  by definition  the effective source is equivalent to a self luminous source  the total irradiance at   u  v     can be obtained by integrating over the entire source        Iusyv          fia  Xo  Zou 5 V N  dxo dzo 5 19  y    where    indicates the
453. lvaco    Tutorial       To improve the initial grid in the x direction  consider two things  First  make sure that a good 2D  profile resolution is specified under the mask edges  Second  make sure the vertical grid lines are  placed along future mask edges  To build half of a 0 6 um MOS structure with the center of the gate at  x 0  there must be an additional X line at x 0 3 and spacing at this line must be small enough to  obtain good lateral resolution of source drain implants  To add these items  return to the X direction  specification in the Mesh Define menu and insert an additional X line at x 0 3 with spacing   0 02     After this final insertion and adding any desired Comment information  the Mesh Define menu  should appear as shown in Figure 2 8  The grid will have 525 points and 960 triangles  see Figure 2 9      Deckbuild  ATHENA Mesh Define    Direction     Location     s loc 0 00 spac 0 10    s l   c 0 3 spac 0 02  s loc 1 spac 0  1    Insert    Delete    View     Co       hd       Location  0 00 0 00  H 16 00  Spacing  0 10 0 00 m  1 00  Comment  Non uniform grid       Figure 2 8  ATHENA Mesh Define Menu    View Grid    525       i triangles     Figure 2 9  Redefined Grid       Silvaco 2 13    ATHENA User s Manual       Finally  write the Mesh Define information to the file by pressing the Write button  A set of lines like  these will appear                          GO ATHENA     NON UNIFORM GRID   LINE X LOC 0 00 SPAC 0 1  LINE X LOC 0 3 SPAC 0 02  LINE X LOC
454. ly take pair populations into account have been implemented by various research  groups  But all these models suffer from the lack of established experimental data  such as binding  energies  or pairing coefficients  for which reason the predictability of these models is questionable   The lack of data  especially for the energy levels of the different charge states of the point defects in  the band gap at typical diffusion temperatures  poses a serious gap in our knowledge  Some of these  energy levels have been measured in low temperature experiments such as DLTS  deep level transient  spectroscopy   but no one knows how these levels adjust themselves relative to the band edges when  the band gap narrows as a function of increasing temperature     Van Vechten  8  has theoretically argued that the acceptor states  0   and      and the donor states         and   0  of the mono vacancy follow the conduction band edge with increasing temperature   Mathiot  5   however  chooses to scale the positions of the energy levels relative to the band edges with  the size of the band gap     In addition to the models described above  which are all specific for dopants and point defects in  silicon  there is a smaller number of hard coded models that are used for other materials such as oxide  or poly     In the sections that follow  we apply standard notation used in the literature for dopants  point defects   interstitials and vacancies  and the different charge states as shown in Table 
455. m a complex network  The texture and morphology of the grain  structure depends on the deposition conditions and on subsequent thermal treatment  during which  recrystallization can occur   Impurities inside the grain will diffuse differently than those in the grain  boundaries  Dopant will also transport through grain and grain boundary interfaces     A model for impurity diffusion in polysilicon outlined in  15    16  and  17  is incorporated in  SSUPREM4  To use polysilicon diffusion  specify the POLY DIFF parameter in the METHOD statement   Most of parameters  which control the model  have a prefix PD and are specified in the IMPURITY  statement  In this model  the concentration of each impurity C  is split into two components  namely       the concentration within grain interior C   and concentration in the grain boundary C      The impurity    diffusion within grain interior is simulated by the standard model used for crystalline silicon  see  Equations 3 2 to 3 7      ar  D  v    z c  ivn  G   ot l n 1  3 66  where diffusivity of impurity i within grains D   is calculated exactly as in Equations 3 10 and 3 11     The diffusion in the grain boundary is assumed to be constant and very rapid     gb  OCR   b gb    l    where DE b is diffusivity of impurity i along the grain boundaries     b  D    PD DIX 0  gt  exp ees     3 68  kT       The PD DIX 0 and PD DIX E parameters are specified in the IMPURITY statement  The last term G  in Equations 3 66 and 3 67 controls impurit
456. m empirical modeling  The goal of empirical modeling is to  obtain analytic formulae that approximate existing data with accuracy and minimum complexity   Empirical models provide efficient approximation and interpolation  Empirical models  however   doesn   t provide insight  predictive capabilities  or capture theoretical knowledge  Physically based  simulation is an alternative to experiments as a source of data  Empirical modeling can provide  compact representations of data from either source     Physically based simulation has become very important for two reasons  One  it   s almost always much  quicker and cheaper than performing experiments  Two  it provides information that is difficult or  impossible to measure     Physically based simulation has two drawbacks  you must incorporated are that all the relevant  physics and chemistry into a simulator and numerical procedures  and you must be implemented to  solve the associated equations  But these tasks have been taken care of for ATHENA users     Physically based process simulation tools users must specify the problem to be simulated  ATHENA  users specify the problem by defining the following     e The initial geometry of the structure to be simulated     e The sequence of process steps  e g   implantation  etching  diffusion  exposure  that are to be sim   ulated     e The physical models to be used     The subsequent chapters of this manual describe how to perform these steps        Silvaco    Chapter 2   Tutoria
457. m the fully coupled model     The main physical points taken into account in the models are the following     e Dopant diffusion of all species is assisted by both vacancies  V  and self interstitials  Z   These  point defects exist in various charge states and their relative concentrations depend on the local  Fermi level position  i e  on the local dopant concentration      e Both J and V have strong binding energies with the dopant atoms and consequently the diffusing  species are dopant defect pairs  the isolated substitutional dopants are immobile   These impurity   defect pairs in their various charge states are not assumed to be in local equilibrium with the free  substitutional dopant atoms and the free defects  In the PLS model  at high dopant concentrations        Silvaco 3 23    ATHENA User s Manual       the concentrations of these pairs are not considered to be negligible with respect to the substitutional   active  dopant concentration  Therefore  the pair concentrations are explicitly taken into account to  compute the total dopant concentration and the Fermi level position  i e   carrier concentration    Consequently  a partial self compensation takes place at high doping concentrations  which  contributes to the differences between total and active concentrations and affects the variations of  the extrinsic diffusivities as a function of the total doping     e The flux of each diffusing species  dopant defect pairs and free defects  includes the drift terms  
458. mation as follows  deposit an oxide of a specified thickness  e g   0 2um  and then etch the  same thickness again          CLEAN GATE OXIDE  ETCH OXIDE DRY THICK 0 03     SPACER DEPOSITION   DEPOSIT OXIDE THICK 0 2 DIVISIONS 8    SPACER ETCHING  ETCH OXIDE DRY THICK 0 23                                              The dry etching step etches the specified material in the region between the top  exposed  boundary of  the structure and a line obtained by translating the boundary line down in the Y direction  The etch  distance is specified by the THICK parameter  Figure 2 19 shows the resulting spacer     Reducing Grid Points in Non Essential Areas using the Relax Parameter    The previous sections demonstrate that the quality of the grid is extremely important for ATHENA  simulation  The rectangular based grid generated by the INITIALIZE or DEPOSIT statements may  remain intact in those areas not involved in the process steps affecting the grid  e g   etching or  oxidation   The Grid Relax capability allows the spacing to be increased in such areas at any point  during the simulation  This capability is useful for two reasons  First  the initial small spacings are  propagated throughout the structure  For example  the fine grid in the X direction shown in Figure 2 9  may be needed only in the upper portion of the structure where doping occurs  Eliminating some grid  lines and points in the lower portion of the structure will not affect the accuracy of implant and  diffusion 
459. may be affected a little in  the very high injection region  giving scope for fine tuning the profile of collector current versus base   emitter voltage        File      View T  Plot     Tools T  Print      Properties      Help      ee    Effect of Base Doping Concentration on the Gummel Plot    Comparison between 5e17 cm3 and 1e18 cm3    o      5017  A     1018       0 6 0 7 0 8    Base Voltage  V   Loading file  home derekk dk examples BIPOLAR atlas   BJT standard 1e18    OK    SILVACO International 1996          Figure 2 37  Effect of base doping profile on low injection base current in BUT    If the pinched or intrinsic base sheet resistance is a measured parameter  the simplest way to match  measured and simulated data is to make slight changes to the base implant dose so that the simulated  dose is not outside the expected error in actual implanted dose in conjunction with the error in  percentage activation     In some designs  where the base contact is close to the collector contact or the base contact is the  substrate or is generally wide  the collector current can also influence all current injection regions by  specifying a surface recombination velocity at the base contact  For a typical design with a buried n   collector and surface contacts  the surface recombination velocity at the base contact may have little  affect on the collector current        2 50 Silvaco    Tutorial       2 6 4  The Base Current Profile   Medium Injection    In ATLAS  there are two maj
460. me and improve statistical quality of simulated profiles  ATHENA  implements a three dimensional rare event algorithm  An implantation profile can differ significantly  in concentration values across implantation depth  Low concentrations in the profile are due to low  probability of implanted species  rare events  to reach that point in space  Therefore  the number of  cascades simulated to get good statistics profile depends on the desired number of orders of magnitude  of accuracy  Even in real experiments  depending on device size  implant distributions below some  threshold concentration value could exhibit significant statistical noise     The algorithm uses trajectory splitting to achieve increased occurrence of the rare target events by  generating several independent sub trajectories from less rare events  The original idea  67    68   and   69  was first developed into a refined simulation technique by Villi  n Altamirano et al    70   They call  their version of this approach  Restart  The basic idea is to identify subspaces from where it is more  likely to reach the target subspace where the rare event occurs  Each time these subspaces are  reached  current events sequence are split in a number of replicas all continuing from the splitting  state  The number of rare events will then increase  depending on the number of restart thresholds  defined and the number of replicas generated     The trajectory splitting algorithm naturally fits into the problem of Monte Ca
461. me of run  1 0 minutes    TUNING PARAMETERS  Wi Surface Grid Multiplier  1 0 9 1       O gE fact     i dt max  0 25 0 00     0 50          Comment                     Figure 2 46  ATHENA Parameters to Run the Define Machine Etch Menu Section       The Machine Name  TESTO2   the time units  e g   minutes  and the Time of run  e g   1 0  must be  specified  There are also two tuning parameters that control time stepping during the etch process  To  improve the smoothness of the etch surface  decrease the maximum time step parameter  DT  MAX   from its default value of 10 percent of the specified Time of Run value     If you set the ATHENA Etch Menu as shown in Figure 2 46  the following ETCH statement will appear  in the input file when you press the WRITE button                1 MINUTE ETCHING USING TESTO2 ETCH MACHINE  ETCH MACHINE TESTO02 TIME 1 0 MINUTES DT MAX 0 25                                                 A new parameter  DX MULT  will be added to the ETCH statement to allow enhanced discretization  during individual ELITE Etch steps  Increasing the value of DX MULT from its default value of 1 0 will  result in larger surface segments and a reduced discretization  Decreasing DX MULT will result in  better discretization in both space and time during the etch calculation  Reducing the value of this  parameter allows realistic modeling of wet etches that previously were poorly resolved  Use the  DX MULT is preferable to the use of DT  MAX        2 64 Silvaco    Tutori
462. ment and Substitutions Capability    DEFINE statement specifies strings for substitution in the following input statements until the  UNDEF INE statement is encountered  The following DEFINE statements and corresponding substitu   tions are allowed                                               DEFINE dconditions temp 1000 time 10 dry          DIFFUSE dconditions          DEFINE t1 5 0                DEFINE t2 10                DIFFUSE temp 900 time     t1   t2         or    DIFFUSE temp 900 time   Stl   St2          Silvaco E 1    ATHENA User s Manual       or    DIFFUSE temp 900 time    t1    t2                In case when you have to redefine a string  you should use the DEFINE statement     E 2 2        IF ELSEIF ELSE IF END Capability     This allows you to perform segments of input deck depending on conditions set in the IF COND     condition  or IFELSE COND  condition   For example  the following sequence extracts the  gate oxide thickness  If it is greater than required 100 A  then the extra oxide thickness is etched   Otherwise  the lacking oxide thickness is deposited                 extract name  gateox  thickness material  Si0 2  mat occno 1    x val 0 5    extract name  gateoxdiff  1 e 5   Sgateox   100 0     IF cond  S gateoxdiff  gt  0 0     etch oxide thick  gateoxdiff          ELSE       deposit oxide thick      Sgateoxdiff        IF  END    E 2 3     LOOP L END ASSIGN L MODIFY Capability       LOOP and L END statements defines the beginning and end of an inpu
463. meter will be shifted so its center is in  the point  0 0    the origin of coordinates for the computational window     WIN X LOW  WIN X HIGH  WIN Z LOW  and WIN Z HIGH set the minimum and maximum x and z  values that define the image window  If unspecified  default values from the mask file will be used  The  units are microns        DX specifies the mesh resolution for the image window in x  If DX is not specified  X POINTS and  Z POINTS will be used  The units are microns     DZ specifies the mesh resolution for the image window in z  The default is DZ DX  The units are  microns     X POINTS and Z POINTS are the number of x and z coordinate points in the image window   respectively  These parameters are used only if DX is not specified  Default value is 10 for both  coordinates     N PUPIL defines or changes the number of mesh points in the projector   s exit pupil used in imaging  simulations  The value of N  PUPIL sets the number of mesh points along the exit pupil   s radius   Larger values provide better accuracy  The default setting should be adequate for accuracy  N PUPIL  also sets the size of the mask or image cell for imaging simulations  Finally  N PUPIL affects the          Silvaco 6 43    IMAGE    ATHENA User s Manual       discretization of the source  This means that if a very fine source discretization is required  N PUPIL  should be set to a larger value  Note that computation time grows linearly with the number of pupil    mesh points and source points use
464. mi Energy is measured as being 0 leV from the conduction band edge  the work  function of the poly emitter set in the CONTACT statement should be set to 4 17   0 1   4 27V     i TonyPlot V2 6 12 A    File 7  View    Ploty  Tools    Print  Properties 7  Help 7  E    Effect of Changing Poly Emitter Work Function       on Current Gain versus Log Collector Current    En EEE    nh            gt    X WF 4 17eV  O      WF 4 276V                gt         sd    micelles lias       2  3     tg  b  SG        o  ie  pe  3  o           d        gt        36 42 a9 40     4 Ff GS Sw wo A a    Collector Current  A um     Click to place   P changes alignment  or drag to get leader    SILVACO International 1996          Figure 2 38  Effect of emitter contact work function on bipolar gain       Silvaco 2 51    ATHENA User s Manual       Bandgap Narrowing Effects    If the BIPOLAR parameter is stipulated in the MODELS statement in ATLAS  bandgap narrowing is  included automatically  The inclusion of bandgap narrowing in the MODELS statement is strongly  advised since this phenomenon has a significant effect on the current gain of the device  But  to  validate the default Klaassen bandgap narrowing model  you should also use the Klaassen mobility  model  Use the additional keyword KLA in the MODELS statement to activate this model  For example              MODELS BIPOLAR KLA          The parameters in the Klaassen bandgap narrowing model are user definable in the MATERIAL  statement and describe
465. models              e The MATERIAL statement  which specifies some basic parameters for all materials        e The SILICIDE statement  which specifies silicidation coefficients     Table 2 3 shows the basic diffusion and oxidation models     Table 2 3  Basic Diffusion and Oxidation Models                      Process Model Assumption Recommendation  Diffuse Fermi  Default Defect in equilibrium   For undamaged substrates in inert  ambients  two dim Transient defect diffu    during oxidation  and after medium  sion dose implant  e g   OED   full cpl Defect and impurity Post high dose implant  amp  co diffusion  binding energy model   effects  but execution time is high  Oxidation   Vertical Planar 1D oxidation only  should never be  used        Compress  Default   Non planar with lin  2D oxidation  e g  birds beak        ear flow  Viscous Non planar with non    2D oxidation  e g  birds beak with thick  Elastic linear flow SigN4  however  execution time is             higher          For a detailed description of all diffusion and oxidation models  see Chapter 3     SSUPREM4 Models      Sections 3 1     Diffusion Models    and 3 3     Oxidation Models        2 4 8  Simulating the Epitaxy Process    ATHENA SSUPREM4 can simulate a high temperature silicon epitaxial processes  The epitaxy process  is considered as a combination of deposit and diffuse processes  Therefore  processes such as  autodoping from a highly doped buried layer into a lightly doped epitaxial layer can be simu
466. modes and has several statements to control it   This section describes the adaptive meshing related statements and how to use them  Table 2 6 list  these statements     Table 2 6  Summary of Adaptive Meshing Control                                                    Parameter Description   METHOD Switches the various automated adaption modes on and off    ADAPT  MESH Invokes a stand alone adaption of the mesh at a specific point    ADAPT PAR Control both the stand alone adaption and the automatic adaption meshing  criteria    GRID MODEL Describes an external template file  containing mesh related statements specific  to a general technology or device    BASE  MESH Defines the 1D starting point of a mesh for an adaptive mesh based simulation    BASE PAR Specifies the adaption criteria for the base mesh only                    The Mechanics of the Base Mesh Formation    ATHENA uses adaptive meshing in both 1D and 2D modes  ADAPT   PAR parameters control both these  modes  The concept of the Base Mesh  however  needs to be described  A typical simulation  e g   a  MOS  is simulated in 1D initially and then switched to 2D during mid process flow  perhaps at the  Poly Gate definition process step  Here  the mesh is extruded from 1D to 2D and the result is the base  mesh  The Base Mesh then forms the basis  and is the starting point  for 2D Adaptive Meshing  The  mesh quality of this base mesh is important for success of future adaption for example  during source   drain implants 
467. mplex implantation geometries could lead to large deviations behavior of the system  thus  overbiasing and underestimating the relevant statistics     3 5 5  lon Implantation Damage    Ion implantation induced crystal damage can play an important role in the various mechanisms  related to diffusion and oxidation  ATHENA includes several different types of damage formation   which can be used in a subsequent diffusion calculation  Implantation induced damage results from  cascades of atomic collisions  If these collisions cascades are dense  it may result in the crystal lattice  becoming locally amorphized  Accurate simulation of collision cascades with simultaneous estimation  of generating various types of point defects  clusters  and spatial defects can be done only in elaborated  Binary Collision Approximation  BCA  or Molecular Dynamics  MD  simulators  Such simulations are  usually time consuming and impractical within general purpose process simulators  Generally  the  amount of damage and distribution of defects associated with it depend on the energy  species  and  dose of implanted ions     ATHENA includes several simple models that link various types of defect distributions with ion  implantation distributions calculated using any of the models described in previous sections     The following types of defects can be estimated     e Interstitial profiles  e Vacancy profiles  e  311  Clusters    e Dislocation Loops    You can describe the damage types to the simulator
468. mpurity respectively   This parameters are used in Monte Carlo BCA implant calculations              Diffusion Parameters    The units for all pre exponential diffusion constants are cm  sec  while the units for activation  energies are eV     DIX 0 and DIX E specify the diffusion coefficient for the impurity diffusing with neutral interstitials   DIX 0 is the pre exponential constant and DIX E is the activation energy           Silvaco 6 49    IMPURITY ATHENA User s Manual       DIP O and DIP E specify the diffusion coefficient for the impurity diffusing with single positive  interstitials  DIP  0 is the pre exponential constant  DIP  E is the activation energy     DIPP O and DIPP E specify the diffusion coefficients for the impurity diffusing with double positive  interstitials        DIM 0 and DIME specify the diffusion coefficient for the impurity diffusing with single negative  interstitials  DIM 0 is the pre exponential constant  DIM  E is the activation        DIMM 0 and DIMM E specify the impurity diffusing with doubly negative interstitials  DIMM  0 is the  pre exponential constant  DIMM E is the activation energy        DVX 0 and DVX E specify the impurity diffusing with neutral vacancies  DVX   0 is the pre exponential  constant  DVX E is the activation energy        DVM 0 and DVM E specify the impurity diffusing with single negative vacancies  DVM 0 is the  pre exponential constant  DVM  E is the activation energy        DVMM 0 and DVMM E specify the impurity diff
469. n     Pearson Implant Model    Generally  the Gaussian distribution is inadequate because real profiles are asymmetrical in most  cases  The simplest and most widely approved method for calculation of asymmetrical ion   implantation profiles is the Pearson distribution  particularly the Pearson IV function  ATHENA uses  this function to obtain longitudinal implantation profiles     The Pearson function refers to a family of distribution curves that result as a consequence of solving  the following differential equation     ea _  x   a  f x   gt  3 179  x  bo  b 5x   box    in which f x  is the frequency function  The constants a  by  b  and bg are related to the moments of f x   by     AR  B  3   jae 3 180  2 2  AR  48   E A Lim a Ie  3 181  by  a 3 182       3 66 Silvaco    SSUPREM4 Models       ote 3 183    2   A  where A   10B   12y    18  y and B are the skewness and kurtosis respectively     These Pearson distribution parameters are directly related to the four moments   u p Ly Hy lg   of the    distribution f x      e _ _  3 _  4  Rp Rp  Hi is given by   o0  a     xflx dx 3 185      oe     i fees i  m   ORR Mende 1   23 4 3 186     00    The forms of the solution of the Pearson Differential Equation depend upon the nature of the roots in  the equation b   tb x b  x   0  There are various shapes of the Pearson curves  You can find the    complete classification of various Pearson curves found in    Atomic and Ion Collision in Solids and at  Surfaces     46   Obviously  o
470. n  decrease if necessary for more accuracy     DT MAX is used with ELITE type polish calculations  By default  the upper limit for the micro  timestep DT MAX is one tenth of the total etch time specified  This is a good compromise between  calculation accuracy and calculation time  But  sometimes it is useful to adapt this value to the specific  simulation problem  Allowing the time steps to become greater gives a higher simulation speed but the  accuracy may suffer  For smaller time steps  the simulation speed will decrease but the accuracy may  be greater     Examples       The following statements illustrate running the chemical mechanical polish module  A RATE  POLISH  statement sets the values for the polish model and must precede the POLISH statement   RATE POLISH OXIDE MACHINE cmp u s MAX HARD 0 15 MIN HARD 0 03    ISOTROPIC 0 001  POLISH MACHINE cmp TIME 5 MIN                      For more examples  see RATE POLISH and ETCH                 6 78 Silvaco    PRINT 1D       6 42  PRINT 1D    PRINT 1D prints values along a one dimensional cross section or an material interface        Note  Use of this statement is not recommended  All functions are available using the EXTRACT command within  DECKBUILD     Syntax    PRINT 1D   X  VALUE  lt n gt  Y VALUE  lt n gt      MATERIAL    MATERIAL   ARCLENGTH   LAYERS    X MIN  lt n gt    X MAX  lt n gt    FORMAT  lt c gt                        Description    This command prints the values along cross sections through the device  You
471. n Condensed  Matter      Phys  Rev  B  v  25  p  5631  1982       F  L  Vook  Defects in Semiconductors  p  60  1972       Silvaco BIB 3    ATHENA User s Manual       66    67     68     69     70     71     72     73     T4     75     76     77     78   79   80     81     82     83     84     85     86     87       I  R  Chakarov and R  P  Webb   CRYSTAL    Binary Collision Simulation of Atomic Collision and  Damage Buildup in Crystalline Silicon     Radiation Effects  v  130 131  p  447  1994     H  Kahn and T  E Harris     Estimation of Particle Transmission by Random Sampling     National  Bureau of Standards Applied Mathematics Series  v  12  p  27  1951     B  A  Bayes     Statistical Techniques for Simulation Models     The Australian Computer Journal v  2   p  190  1975     J  M  Hemmersley and D  C  Handscomb  Monte Carlo Methods  Methuen and Co   Ltd   London   1964     M  Villi  n Altamirano and J  Villi  n Altamirano      RESTART  A Method for Accelerating Rare Event  Simulations     Proc  13th Int  Teletraffic Congress  ITC 18  Queueing  Performance and Control in  ATM   P  C  Cohen J  W   Ed   North Holland  Copenhagen  Denmark  p  71  1991     A  Phillips and P  J  Price     Monte Carlo Calculations on Hot Electron Energy Tails     Appl  Phys   Lett   v  30  1977    S H Yang  D  Lim  S  Morris  and A  F  Tasch     A More Efficient Approach for Monte Carlo  Simulation of Deeply Channeled Implanted Profiles in Single Crystal Silicon     Proc  NUPAD  p  97   1994 
472. n be done  by selecting the Tools  gt Maskviews    gt Cutfiles option from DECKBUILD when ATHENA is active  which  will open the MASKVIEWS Cutline Popup    Names of all available mask layers are in Figure 2 55  When you select a name  e g   POLY  from the  list  press the Apply Mask button and the following lines will appear in the input file       DEFINING POLY MASK  MASK NAME  POLY                 Deckbuild  ATHENA Photo       Edit layer    Label POLY       T  E Name  Insert layer 7  i Reverse Mask     He Delete layer  Comment  Defining POLY mask    Apply mas Strip mask       Figure 2 55  ATHENA Photo Popup       During runtime  DECKBUILD converts the MASK statement into a DEPOSIT statement  followed by a  series of ETCH statements  The mask thickness and material type are defined in the MaskViews  Layers Popup  Figure 2 56  in the Define menu of MASKVIEWws        Maskviews   Layers  Current layer    Label POLY     Name first poly def    Field Electrodes  yj    Mis   alignments   x 0 0 y 0 0    Delta CD 0 00    thickness 0 1  Add     Delete            Figure 2 56  MaskViews Layers Menu       Silvaco    ATHENA User s Manual       Two types of mask material are available  Photoresist and Barrier  The real thickness of a photoresist  layer should be specified because it can be used as a mask for implantation  Barrier is a fictitious  material  It is impenetrable for any implants and can serve only as a masking material  This material  is implemented in ATHENA for the purpose o
473. n easier numerical problem due to the  avoidance of    numerical stiffness     But since point defects are not directly simulated  the Fermi model  cannot deal with certain process conditions in which the defect populations are not in equilibrium   such as in wet oxidation  where Oxidation Enhanced Diffusion  OED  is important   emitter base  diffusions and wherever implantation results in an initial high level of implant damage           To use the Fermi Model  specify FERMI partameter in he METHOD statement     In the Fermi Model  each dopant obeys a continuity equation of the form     E   ch_ Vv    Dix VC 2 C 2  ae    X 1V    where Cop is the chemical impurity concentration  Z  is the particle charge   1 for donors and  1 for  acceptors   Day and D4  are the joint contributions to the dopant diffusivity from dopant vacancy and  dopant interstitial pairs in different charge states  5   C4 is the mobile impurity concentration and    is  the electric field  The terms Day and Dy  depend on both the position of the Fermi level as well as  temperature and are expressed as     ie 1   2    1 ERNS  DTZ D Dix 4    Diy  4    Diy  4  EDs  4  3 10  n  n n n  i 1 l      n  l    where the temperature dependency is embedded in the intrinsic pair diffusivities  which are specified  by Arrhenius expressions of the type        E  D Dye   Dea  3 11    Table 3 2 shows the names of the pre exponential factors  D 0  and activation energies  D E  for each of  the charge states  c  of the various 
474. n linear effect of the intensity distribution on the local optical  properties of the resist material  The third reason is because it provides a good    accuracy to run time     ratio     The BPM is used to solve the Helmholtz equation for electromagnetic field inside the structure  During  the simulation  the field distribution is formed as the superposition of incident light with all the  reflections from all elements of the resist substrate interface and secondary reflection s  from the  upper resist surface   106  shows the formal descriptions of the BPM Papers  107    108   and  109  also  describe some applications using BPM     In this model  the Helmholtz equation  Equation 5 24  for the electric field  E  in the media with  complex refractive index  n x y   is solved in two main stages  The first stage  the diffraction over a  small spatial step along the propagation is calculated  Thus  obtaining the new field amplitude  distribution without absorption taken into account  Then  the actual field distribution is computed as a  product of this amplitude distribution and the distribution of the complex absorption over the step  Let  the wave propagate along the y axis        Silvaco 5 9    ATHENA User   s Manual       We find the solution as a quasi plane wave E A x y exp inky  with a slowly varied amplitude A  A is  then modified with y  which is slower than phase term inky  In this case  the Fourier image of current  distribution A in the plane y yq is defined as foll
475. n rates converge        Silvaco 4 17    ATHENA User s Manual       Calculation of Rates    The second stage involves calculation of the etching rates as well as ejection and redeposition rates of  the polymer particles  During each time step  the two processes simultaneously take place on each  surface segment  The first is redeposition of the polymer with the rate equal to the polymer flux  The  second is etching by incoming ions and neutrals  The combination of these two processes can be treated  as deposition of a virtual polymer layer with subsequent etching of the two layer structure  If the etch  rate of polymer by incoming ions and neutrals is less than the polymer deposition rate  the result is the  redeposition of a polymer layer on the surface  If the etch rate of polymer by incoming ions and  neutrals is larger than the polymer deposition rate  the result is actual etch of the underlying  material     Linear Etch Model    In the case of the linear model  the etch rate ER m  of each material m is calculated as    ER m    S EP m  1  Vans 4 23  n       where n is the number of plasma ion types specified by the parameter I10N TYPES  n could be equal to  1 or 2   EP m i  is the etch parameter for material m  and ion type i specified by parameters MC  ETCH1       and MC ETCH2 V_   is the ion velocity as calculated in Equation 4 22        abs    If calculated  ER polymer  is less than the polymer flux  redeposition rate   PF the actual etch rate   and ER is negative which
476. nally a planarization etch is performed        ATHENASSUPREMS ATHENAGSUPREMS ATHENAELITE  NITRIDE SPACER FORMATION LOCAL GRIDATION NITRIDE SPACER REMOVAL          Migone Mirona  ATHENAALITE ESUPREMS ATHENAELITE ATHENAELITE  TRENCH ETCH and CRIDATION TRENCH REFLL TRENCH PLANARIZATION          Figure C 3  Simulation of self aligned trench isolation process using the ELITE and SSUPREM4 modules of  ATHENA  SSUPREM4 is used for the LOCOS and trench oxidation  ELITE is used for the trench etch and refill  The  interface between SSUPREM4 and ELITE is completely automatic and transparent to the user     The syntax needed to access the ELITE models can be found using the Deckbuild Command Menus   The main parameters are RATE ETCH MACHINE  lt name gt  to set up parameters for the etch machine  and ETCH MACHINE  lt name gt  TIME  lt value gt  to run that machine for a given time  Analogous  commands exist for depositions                       One key parameter for users of ELITE is DX MULT  lt value gt  on the ETCH statement  This parameter  sets the ratio between the grid spacing used by SSUPREM4 and the surface accuracy used by ELITE   The default is 1 0  Lower DX  MULT values will improve the accuracy and smoothness of etch shapes at  the expense of some additional CPU time        Silvaco C 7    ATHENA User s Manual       Question     Can dopant diffusion be modeled simultaneously with the material reflow     Answer     An extremely important feature of ATHENA is that simulation of t
477. nation model  is enabled  The IIFACTOR and IVFACTOR parameters on the INTERSTITIAL command are used when  METHOD HIGH CONC is enabled           I LOOP SINK specifies that a dislocation loop band can be specified during a subsequent implant and  that the loops may behave as an interstitial sink during diffusion  The DISLOC  LOOP command is used  to set parameters for this model     POLY DIFF specifies that the two stream polysilicon diffusion model should be used  To operate  accurately  set this model before the deposition of the polysilicon material  See Section 6 29      IMPURITY    and Chapter 3     SSUPREM4 Models     Section 3 1 7     Grain based Polysilicon Diffusion  Model    for more information     CLUST TRANS enables the Transient Activation Model    DOSE LOSS specifies that Interface Trap Model for dose loss at Silicon Oxide Interface is enabled   MODEL SIGEC enables special B diffusion model in SiGeC SiGeC    SIGECDF MOD specifies the name of the C Interpreter file for boron diffusivity model in SiGe     SIGECNI MOD specifies the name of the C Interpreter file instrinic carrier concentration model used  in boron diffusion mode in SiGe SiGeC     MIN TEMP specifies the minimum temperature for which impurity diffusion is considered  At  temperatures below MIN  TEMP  the impurities are considered immobile  The default is 700  C  With  caution  you can set this parameter to a lower value for certain diffusion steps                 Silvaco 6 65    METHOD ATHENA User s M
478. nce in the linear  rate constant is given by    a  _ geet anes    where L PDEP is specified on the OXIDE statement for each oxidant and P is the partial pressure of the  oxidizing gas              Figure 3 13 shows the silicon dioxide thickness versus time with PRESSURE as a parameter         TonyPlot V2 6 9    File 7  View    Plot  Tools    Print     Properties 7  Help    C       3     E  E  E      5  H    Oxidation Conditions  Dry02  900 C   lt 100 gt  Si  0  HCI    2  Oxidation Time   Minutes         SILVACO International 1996    Figure 3 13  Silicon Dioxide Thickness versus Time with Pressure as a Parameter          Silvaco 3 53    ATHENA User s Manual       Chlorine Dependence    The addition of chlorine to the oxidation system results in better passivation and higher oxide  dielectric strength  30  35   For a dry oxygen ambient  chlorine introduction gives rise to a higher  oxidation rate  It has been suggested  35  that chlorine reacts with O  to produce HO and Cl as  products  The oxidation rate is higher in HO ambients than in O ambients because equilibrium  concentration of H320 in the oxide is higher  A look up table approach is implemented to model the  increase in the linear rate constant in Equation 3 156 though the  B A c  term  The table gives an    enhancement factor to the linear rate constant as a function of chlorine percentage and temperature   The default values for chlorine dependence are included in Appendix B     Default Coefficients        The effect
479. ncy to the extent of  which is also device design specific  Therefore  some degree of iteration of the tuning parameters is to  be expected     When tuning bipolar transistors  there is a greater emphasis to accessing tuning parameters by using  the device simulator  ATLAS  compared to optimizing MOSFETs  where most tuning parameters are  process related  A powerful combination is the tuning of a BiCMOS process where you can use the  MOSFET part of the process flow to tune the process parameters  while using the Bipolar part of the  flow to tune ATLAS  This technique should yield a high degree of predictability in the results        2 48 Silvaco    Tutorial       Tuning the process simulator parameters in ATHENA are mainly required to model effects  such as the  implantation induced defect enhanced diffusion responsible for the Emitter Push Effect  which is  essential to obtain the correct depth of the base collector junction  The correct process modeling of the  out diffusion of dopant from the poly emitter into the mono crystalline substrate is also critical to  obtaining well matched IV curves  Another critical process modeling area is the base implant  because  it is essential to match measured and modeled base resistance for correct modeling of the collector  current  These and other issues are discussed in these sections     2 6 1  Tuning Base and Collector Currents   All Regions    The most important parameter to model the general level of base and collector currents i
480. nd 3 19  is similar to the Fermi Diffusion Model except  for two elements  The additional term Cy Cy has been added to model the enhancement or  retardation of diffusion due to non equilibrium point defect concentrations  The term fy takes into  account the knowledge that some impurities diffuse more by interstitials than by vacancies or vice  versa  Although this dependency is of a phenomenological character  it seems reasonable  and is the    one used by most diffusion simulators to account for the diffusion enhancement of dopants during  oxidation enhanced diffusion  OED  or transient enhanced diffusion  TED      Remember the point defect equilibrium concentrations are temperature as well as Fermi level  dependent and can be calculated from the following expressions         2  1  2  n n n n  neu   ne       dneg       pos  2    dpos       i i n ni ni ni  O E EO S 3 22    neu   neg   dneg   pos   dpos    x    Cy       i represents the equilibrium defect concentrations of interstitials and vacancies under  intrinsic conditions  and the weight factors  neu  neg  dneg  pos  and dpos account for the distribution  of defects of different charge states under intrinsic conditions  All of these are assumed to be  temperature dependent through Arrhenius expressions of the following type     where Cy       3 23       neu   NEU 0exp  MEU E     kT       where the pre exponential factors and activation energies  in this case NEU 0 and NEU E  can be  specified in the VACANCY and INTERSTIT
481. nd Monte Carlo Etching  ELITE uses a string algorithm  to describe topographical changes that occur during deposition and etching processes  This chapter  describes the models and techniques used in ELITE and the command language used to access model  parameters        Silvaco 4 1    ATHENA User s Manual       4 2  String Algorithm    The ELITE simulation regime consists of a set of triangles that hold information on the materials that  are being simulated  The string algorithm treats each of these interfaces as a set of segments that  move in response to a particular process calculation     As microfabrication technology becomes more complex  modeling each step of the manufacturing  process is increasingly important for predicting the performance of the technology  Etching is a step  that is universal in microfabrication  It may take place as the dissolution of a photoresist by an organic  solvent  the etching of an oxide by an alkali  or the plasma etching of an electron resist  Whatever its  physical details  the etching process can in many cases be modeled as a surface etching phenomenon   Etching simulation starts from an initial profile that moves through a medium in which the speed of  etching propagation can be a function of position and other variables that determine the final profile     Two major assumptions limit the generality of the string algorithm in ELITE  First  the pattern to be  etched is uniform in one dimension  so the problem can be solved using only tw
482. ne Material Monte Carlo    The crystalline model used in ATHENA is based on the program CRYSTAL described elsewhere   66   In  order to calculate the rest distribution of the projectiles  ATHENA simulates atomic collisions in  crystalline targets using the Binary Collision Approximation  BCA   The algorithm follows out the  sequence of an energetic atomic projectiles  ions  launched from an external beam into a target  The  targets may have many material regions  each with its own crystal structure   crystalline or  amorphous  with many kinds of atoms  The slowing down of the projectiles is followed until they either  leave the target or their energy falls below some predefined cut off energy     The crystal model is invoked with the MONTE parameter in the IMPLANT statement  ATHENA will then  choose which model to use depending on the predefined crystal structure of the material  Specifying  CRYSTALLINE has no affect on the implantation and the BCA parameter is just a synonym for MONTE   You can manipulate the implantation module to consider all materials amorphous by adding the  AMORPHOUS parameter in the IMPLANT statement  At that moment  the materials with predefined  crystal structure are Si  Ge  GaAs  SiGe  InP as well as three types of silicon carbides  8C SiC  4H SiC   and 6H SiC  and two types of superconductors  Ba2YCu307 and Ba2NdCu807   All remaining  materials in ATHENA are considered amorphous              Statistical Sampling    In order to reduce calculation ti
483. nformation appears in the standard output or in the DeckBuild Text Subwindow unless it is re   directed into CPUFILE              LOG enables logging of CPU usage when true  and disables CPU logging when false  The default is  true     CPUFILE specifies a name of the file to which CPU log is written  The default is the standard output   Examples  The following example enables ATHENA to gather CPU statistics and store it in the file timeusage out     CPULOG LOG CPUGFILE timeusage out       Note  The accuracy of time statistics depends on the computer and operating system  It is usually around 0 01 sec        6 20 Silvaco    DEPOSIT       6 13  DEPOSIT    DEPOSIT deposits a layer of specified material  DEPOSITION is a synonym for this statement                    Note  Unless the ELITE module is used  all deposition steps in ATHENA are 100  conformal  This means deposition on all  surfaces with a step coverage of 1 0     Syntax    DEPOSIT   MATERIAL  NAME RESIST  lt c gt   THICKNESS  lt n gt    SI_TO_POLY   TEMPERATURE  lt n gt     DIVISIONS  lt n gt    DY  lt n gt    YDY  lt n gt    MIN DY  lt n gt    MIN SPACE  lt n gt    C IMPURITIES  lt n gt    F IMPURITIES  lt n   C INTERST  lt n gt    F INTERST  lt n gt    C VACANCY  lt n gt    F VACANCY  lt n gt    C FRACTION  lt n gt    F FRACTION  lt n gt    MACHINE  lt c gt    TIME  lt n gt    HOURS MINUTES   SECONDS    N PARTICLE  lt n gt    OUTFILE  lt c gt    SUBSTEPS  lt n gt    VOID                                                
484. ng other VWF Interactive tools   To start ATHENA under DECKBUILD in interactive mode  enter the following UNIX command   deckbuild  an    After a short delay  the Main Deckbuild Window  See Figure 2 1  will appear  The lower text window of  this window will contain the ATHENA logo and version number  a list of available modules  and a  command prompt  ATHENA is now ready to run  To become familiar with the mechanics of running  ATHENA under DECKBUILD  load and run some of the ATHENA standard examples     The method described here is the recommended procedure for starting the program  There are other  methods and modes of running ATHENA  Section 2 2     Operation Modes    or Section 2 8     Using  Advanced Features of ATHENA    will describe these methods and modes        Silvaco 2 1    ATHENA User s Manual       Deckbuild   3 5 3 Beta   manualin  dir   tmp_mnt main lucky stacy manual    i File 7   View v  CEdit 7    Find 7  Main Control v  Commands 7  i Tools 7     co    he   0 00 spac 0 10   0 30 spac 0 02      1 00 spac 0 10             go athena          Joc 0 00 spac 0  03  Joc 0 20 spac 0  02  Joc 1 00 spac 0 10  silicon    boron 3 0e14 orientation 100 two  d    struct outfile manual_ 1 str  tonyplot manual _ 1 str  set manual_ 1 set    deposit oxide thick 0 02 divisions 2  struct outfile manual_ 2 str        deposit poly thick 0 50 c phosphor 5 0e19 divisions 10 dy 0 02 ydy 0 0 4  min  spac 0  001   struct outfile manual_ 3 str        init infilesmanual_ 2 str   deposit
485. nitialization to convert a complete input file to the appropriate fast mode  and back to  normal operation     Question     It is known that Silvaco   s device simulator ATLAS allows the simulation of device structures with cylin   drical symmetry  Does ATHENA support the grid with cylindrical symmetry        Silvaco C 1    ATHENA User s Manual       Answer        Yes  you can specify the cylindrical coordinate system in the INITIALIZE statement  choose Cylindri   cal in the ATHENA Mesh Initialize Menu   The axis of symmetry is always at x 0     Question     In some cases the grid within oxide generated during the oxidation step is very coarse  Does this affect  accurate estimation of dopant segregation  Does the shape of the oxide region depend on the quality of  internal grid  Is it possible to control the grid during oxidation     Answer        The thickness of grid layers during oxidation is controllable  Two parameters of the METHOD statement  affect the oxide grid  GRID OXIDE and GRIDINIT OXIDE  GRID OXIDE specifies the maximum grid  layer thickness  in microns   GRIDINIT OXIDE specifies the maximum thickness of the very first grid  layer generated in the growing oxide  For both parameters  the default is 0 1 microns  These defaults  are reasonable for simulation of thick  0 6   1 0  field oxide growth  But  for thinner oxides these  parameters should be decreased  For example  if an 0 025p gate oxide is growing  it is a good idea to set  GRIDINIT  OXIDE to 0 005 and GR
486. nly bell shaped curves are applicable to ion implantation profiles  It is    readily shown by Ashworth  Oven  and Mundin  47  that fx  has a maximum when bg b  x box   lt  0     You can reformulate this as the following relation between B and y     oko  5     9f   16   i   2567   oy       3 E eee 3 187    2  50 y      2  with the additional constraint that y  lt 50     Only Pearson type IV has a single maximum at x   a R  and monotonic decay to zero on both sides of  the distribution  Therefore  Pearson type IV is usually used for ion implantation profiles  it is the  solution of Equation 3 178 when the following conditions are satisfied     2 2 3 2  z 39y  48  6 y  4     B tne Ra S30 3 188    2  32  7       Silvaco 3 67    ATHENA User s Manual       This gives the following formula for Pearson IV distribution     1 b    i 4  22 bg 2by x R    b    fix    K bg   b x R   b  x R     op   Se ay e 3 189   j     Hoet   4b  b3 b  qo  87   where K is defined by the constraint   o0    Ande   7  3 190     0    In the narrow area of 8  7 plane where Pearson IV type criterion  Equation 3 188  is not satisfied  while bell shaped profile criterion  Equation 3 187  holds ATHENA  by default  uses other than type IV  Pearson functions  These functions are bell shaped but they are not specified over the whole                interval  Usually  this doesn   t affect the quality of calculated profiles because the limits of these  functions are situated far from their maximums  If you want to use 
487. nough so that any curve that developed would be well defined   i e   there should be some maximum angle between adjacent segments  perhaps 0 1 radians  This  criterion  however  led to a proliferation of segments in regions where the front was either expanding  or contracting  The algorithms in ELITE attempt to maintain approximately equal segment lengths   This results in position errors of about one half segment length  The error can be reduced by  decreasing the average segment length with a proportional increase in computation time        4 2    Silvaco    ELITE Models       exact solution  Etchant       local normal  to the etch front    Material being etched    Figure 4 1  String Model approximation to the Etch Front    For the most cases of interest  the etch rate varies with position  This leads to some errors in the  position and in the direction of each point on the string  Errors in position arise from the use of a  rather simple integration algorithm  The local rate at the start of each time step is assumed to be  constant throughout the step  This can easily lead to position errors as large as the distance covered in  one step  Consider  for example  an etch front in a photoresist approaching an unetchable substrate  A  point  which is barely outside the substrate at the start of the time step  will advance into the  substrate at the rate associated with the resist  Thin layers of alternating fast and slow etch rates  could spawn errors in position  With too larg
488. nreliable and unpredictable     e Any concentrations of dopant initialized in ATHENA will be overwritten if a PROFILE statement  is used to load a SSF file                       Silvaco    PROJECTION       6 45  PROJECTION    PROJECTION specifies the basic optical projection parameters for OPTOLITH        Syntax    PROJECTION   NA  lt n gt    FLARI          Description    E  lt n gt      This statement specifies the numerical aperture NA  the defocus distance  and the possible flare in the  optical or resist systems     NA is the numerical    aperture of the optical projection system        FLARE is the amount of flare for the particular imaging problem  FLARE must be expressed in    percentages     Examples    The following statement sets the numerical aperture and flare value for the projection system        For more examples   ABERRATION                 PROJECTION NA  5 FLARE 2                                                    see IMAGE  ILLUMINATION  ILLUM FILTER  PUPIL FILTER  LAYOUT  and       Silvaco    PUPIL FILTER ATHENA User s Manual       6 46  PUPIL FILTER    PUPIL FILTER specifies the projection pupil type and filtering for OPTOLITH        Syntax    PUPIL FILTER   CIRCLE   SQUARE   GAUSSIAN   ANTIGAUSS      GAMMA  lt n gt    IN RADIUS  lt n gt    OUT RADIUS  lt n gt    PHASE  lt n gt       TRANSMIT  lt n gt    CLEAR FIL                          Description    This command allows you to specify four different pupil types and allows spatial filtering in the  Fouri
489. nte beats Males E waen ee 3 44  3 3 1  Numerical Oxidation Models 22s 2nekcths deat ved cuwe eed de peda yee eee te ee ees 3 46  3 9 2  COMpless MOE   2 8 5 cute we Noe OS ea eh a ee reeeo a T neno 3 47  Side VISCOUS Modet ar r ce andi tet ned AE E aA Gnd mu E A EEA NMEA Ru Ed A Aa nas 3 48  3 3 4  Linear Rate Constant         ss say teads eee    Renee ewer eit eie ls eecer testy 3 50  3 3 5  Parabolic Rate COnstats cac cudacr eien EREE EEE teat EA E SE TERE Red ee 3 57  3 3 6  Mixed Ambient Oxidation       n    nuanua n ananena 3 58  3 3 7  Analytical Oxidation Model          nuanse a du aid p40  eee teele dee Seca date at aed eee y 3 58  3 3 8  Recommendations for Successful Oxidation Simulations           0 0  c cece eee 3 59  3 4  Silicidation  Model  jcs226 2 240 ses den 8 tea Ghee eelediew ec SEA eee ee ed dete eee andes 3 64  3 5  lon  implantation MOG el S cus 522 ce ase wwii e a a a bre Aenea ae ata aaa Se 3 66  3 5 T Analyticimplant Model Ssa5 25885 atin ited here ead Dawe aes A hee SAU seem ee SeaT eee  3 66  3 5 2  M  lti Layer Implants sas 2c cen cc ae Ary bene Ale ah he BN oh Ae ed steko 3 70  3 5 3  Creating Two Dimensional Implant Profiles    0 2 0 0    ccc cece eee eee eens 3 72  3 5 4  Monte Carlo Implants   esto area a eater ete Bink eee ORs Ie ek hte e 3 76  3 5 5  lon lmpl  ntation Damages ine net Gi ts nnana e a eA a a a aaa eee 3 87       yi    Silvaco    Table of Contents       3 5 6  Stopping Powers in Amorphous Materials and Range Validation         
490. nterpolates into a table of experimental  e g   temperature and deactivation    threshold  data pairs and finds a concentration independent deactivation threshold  C th  that    corresponds to the current simulation temperature  You can set these pairs in the IMPURITY  statement by assigning values to the parameters  SS TEMP and SS CONC  The temperature should  be specified in Celsius           Silvaco 3 19    ATHENA User s Manual       2  A logarithmic concentration dependency is incorporated by setting the final deactivation threshold  to the value     th b  th act       act     th  c  ad Cae  ETUS 1   b  Cact    Cact 3 62  act  th  Cact 7 Cact  lt  Cact    where the parameter b must be in the range of  0 8  1 0   Parameter b can be specified as ACT   FACTOR  in the IMPURITY statement  The effect of Equation 3 62 is to produce a rounding in the top of the  active profile that slightly follows the form of the chemical profile     Transient Activation Model    The Transient Activation Model assumes that dopants  after an implant  are inactive  A certain time is  required before the dopants become active  After an ion is implanted into silicon  this model assumes  that all dopants are inactive and may not be activated immediately but become gradually active  instead  The Transient Activation Model simulates this behavior and applies it to activating the  implant dopants     The following equation for the active concentration C4 is solved         ggl Chem  C            3 63    
491. nto  a directory directly visible to the simulation run  regardless where the simulator is executing        Silvaco 6 103    STRESS ATHENA User s Manual       6 60  STRESS    STRESS calculates elastic stresses        Syntax       STRESS   TEMP 1  lt n gt    TEMP2  lt n gt    NEL  lt n gt                        Description    This command calculates stresses due to thin film intrinsic stress or thermal mismatch     TEMP1 and TEMP2 are the initial and final temperatures in   C for calculating thermal mismatch  stresses     NEL is the number of nodes per triangle to use  Currently  only 6 or 7 are allowed  6 nodes are faster  than 7 and usually gives adequate results  Default is 6     Examples    The following calculates the stresses in the substrate and film arising from a nitride layer  which has  an intrinsic stress of 1 4 x10 4 dynes cm  when deposited uniformly    MATERIAL NITRIDE INTRIN SIG 1 4E10   STRESS             The following calculates thermal mismatch stress in the whole structure as the result of a temperature  change from 1000 to 100   C     STRESS TEMP1 1000 TEMP2 100                         For more examples  see MATERIAL           6 104 Silvaco    STRETCH       6 61  STRETCH    STRETCH stretches structures about a specified location        Syntax    STRETCH  MATERIAL  lt c gt   LENGTH  lt n gt    X VAL  lt n gt    Y VAL  lt n gt    STRETCH VAL  lt n gt     SPACING  lt n gt    DIVISION  lt n gt    SNAP              Description    This statement specifies that t
492. ny sense because ATHENA uses Biersack Brandt Kitagawa stopping model where sqrt E   dependency doesn   t exist explicitly     Moments are Calculated during Monte Carlo Implant Simulation   All spatial moments are  integrated during Monte Carlo calculations and then can be printed out when PRINT MOM parameter  is specified     BEAMWIDTH Capability for Monte Carlo Implant   now works properly for any number of  trajectories  It used to wrongly estimate random angle     Boundary Conditions PERIODIC and REFLECT   now work properly even in the case of 1D  simulation     SMOOTH Capability   now works in all cases  used to fail for several combinations of other  parameters      Oxidation    Oxidation Threshold Model   Oxidation only occurs for oxidant concentration above some critical  value     Miscellaneous Features    Solid Solubility Tables Extended   Boron Solid Solubility Tables have been extended down to 700     minimum temperature     New PD Time Stepping Control   The initial time step may not be set independently for point  defects to dopant  This allows greater flexibility to study events occurring during the initial time of an  RTA time cycle  specifically when employing a new TED diffusion model     Equilibrium Point Defects Concentration   The equilibrium point defects concentrations  Ci  and  Cv   are now output into the SSF file  These may now be visualized in TONYPLOT     Dump filename extended   the files dumped during a diffusion now include three extra decimal  p
493. o SR   The dual Pearson model will be used only when all nine parameters are present   see the    Specification of Implant Parameters in the Moments Statement    on page 3 76  and the  AMORPHOUS parameter is not specified in the IMPLANT statement  the default is CRYSTAL   Otherwise   the single Pearson formula will be used        3 68 Silvaco    SSUPREM4 Models       SIMS Verified Dual Pearson  SVDP  Model    By default  ATHENA uses SIMS Verified Dual Pearson  SVDP  implant models  These are based on the  tables from the University of Texas at Austin  These tables contain dual Pearson moments for B  BF2   P  and As extracted from high quality implantation experiments are also conducted by the University  of Texas at Austin  Table 3 7 show these implantation tables contain dose  energy  tilt  rotation angle   and screen oxide thickness dependence     Table 3 7  Range of Validity of the SVDP Model in ATHENA                   lons Energy  keV  Dose  cm      Tilt Angle       Rotation Angle      Screen Oxide  A    B 1     100  1013     8x1015   0     10   0     360 native oxide     500     BF     1     80   1018     8x1015   0     10 0     360 native oxide   P 12     2004 1013     8x1015   0     10 0     360 native oxide   As 1     200   1013     8x1015   0     10 0     360 native oxide                               a Experimentally verified for 5 80keV  For energy range  1 5keV  an interpolation between 5keV and 0 5keV calculated with UT MARLOWE  is  used  an extrapolation is use
494. o different sets of parameters  The first set  is DX and DZ  DX and DZ are the resolution in micrometers for the x and z directions  The second set   X POINTS and Z POINTS  is based on the number of points in each direction  The resolution will be  the length of the side of the image window divided by the number of points  To study the defocus of the  aerial image  use the DEFOCUS parameter  DEFOCUS uses units of micrometers              2 80 Silvaco    Tutorial       The N PUPIL parameter specifies the computational window  If N PUPIL is not specified  it is  automatically calculated to a size that encompasses all mask features  In these cases  you can set the  computational window manually using the following formula     Neue  e yy 2 1  lambda    where length is the intercept coordinate for the x and z axes of a square centered at the origin that  delimits the Computational Window as shown in Figure 2 66        Computational        gt X  Window                Figure 2 66  The Computational Window is Always Centered at the Origin       Once you calculate the image  you can store it in a standard structure file by using the STRUCTURE  OUTFILE   STR INTENSITY command              The INTENSITY modifier identifies the file to be different than a standard structure  This file can later  be initialized into memory and used without running the imaging module  To initialize an intensity  file  type           INITIALIZE INFILE   STR INTENSITY                The intensity modifier ag
495. o dimensions  For most  microfabrication problems  the important cases involve the cross sections of lines  so this model is  directly applicable  In certain other cases  such as round holes  the symmetry of some cross sections is  such that the algorithm is still valid  The second major assumption is that the etch rate is a scalar  function of position  and is independent of the direction of local etch front motion and the history of the  front  In some real situations  this does not hold  PMMA  for instance  has been found to have a gel  region at the resist solvent interface during development  so the etch rate is a function of the history of  the adjacent regions as well as of the exposure  Another case where the second assumption does not  hold is in the so called    preferential etching    where etching proceeds more quickly along certain  crystal directions  making the etch anisotropic     The algorithm described here is known as a    string algorithm     83   The etch front is simulated by a  series of points joined by straight line segments  forming a string  During each time increment  each  point advances perpendicularly to the local etch front as in Figure 4 1  A major portion of the  algorithm adjusts the number of segments to keep them approximately equal in length  Other  subroutines input the data and output the etch front     Choosing suitable criteria for segment length was a major problem in developing the algorithm  It  seemed that segments must be short e
496. ode being defined  If no value of Y is  specified  the top of the structure is assumed     BACKSIDE specifies that a flat  zero height  electrode will be placed on the bottom of the simulation  structure  This is the one exception to whole regions being defined as electrodes  If a metal region is  present on the bottom of the structure  this parameter will not be used and the XY coordinates used  instead  BOTTOM is a synonym for this parameter        LEFT specifies that the top left region of the structure will be defined as an electrode   RIGHT specifies that the top right region of the structure will be defined as an electrode     Note  The ELECTRODE statement recognizes the regions made of polysilicon  standard metals  see Section 6 2 9      Standard and User Defined Materials    for the list of standard metals  or user defined materials with the following standard  names  Gold  Silver  AlSi  Palladium  Molybdenum  Lead  Iron  Tantalum  AISITi  AlSiCu  TiW  Copper  Tin  Nickel  NiSix  TaSix   PaSix  MoSix  ZrSix  AlSix  Conductor  Contact     Note  ATLAS contains syntax that makes use of the common electrical names for highly preferred terminals  These are  anode  cathode  emitter  base  collector  gate  source  drain  bulk and substrate     Metal Region Electrode Definition Example    The following gives the name source to the metal or polysilicon region at location x 1 micron on the  top of the current structure           ELECTRODE X 1 0 NAME SOURCE                   Sub
497. odel     5  5 c   2 Sew ee ed las bh te See aoe eee eae BS 5 14  5 6 4  Trefonas    Development Model   s 2  se5chs atcosaccioesatty atsexliasan shan wpe pata wp s   awe 5 14  5 6 5  Hirai   s Development Modelos  oacren dt sete bh ten date whet Sa ee are th ee ok io tere uy 5 14  5 7 Proximity PUM G ieee Sse a ark wee tsar ascot ware une a ra EEEa ran tang rience nnn A AAS 5 15  5 7 1  General Description of Proximity Lithography             00    cece cece eee eee eens 5 15  5 7 2  Theory of Proximity Printing    sis teiare aiieaaahiies Star ee tWS seek eeu twee ea aebe east 5 15  5 7 3  Simulation Method  i seriy deai eee eb eieade EA pis tele whe ewe eats eee EE 5 17  Chapter 6   StatemeniS sirin cake a nin sie awae Gans E kau Mave kava taeda ete eae care 6 1  BT OVGIVIGW 32 chore ata tertiles Richins Ba ara ea a ak enlaces ata et ea Cone euskal edie Mend erent Sra 6 1  Dold DDI OVIANONS is tans thn S Geo a Rae Se SPOS cece ae SY is So eet a E ot 6 1  6 1 2  Continuation LINCS  ia  S cst Sean aed ook ot wane te ata np ere Mita o e edge ierkty Sitters Sea one  tanaka 6 2   Be BE COMIMGNIS en UE Se ak ee EER ee ta eRe oe ir Bo aed Se ae 6 2  6 1 4  General Syntax Description         os tr eee iain ee ee ute ah ee hed ee eo ea ohh   6 2  6 1 5  Command Line Parsing 33 i evis ceed ete bawds teded eevee ee ledid bowie ede sadbewise aris ees 6 3  6 2  ATHENA Statements Listi itero eink ales peewee aoe eee eds eee 6 4  6 2 1  Structure and Grid Initialization Statements    0 2 0
498. odel  specify parameter TWO DIM in the METHOD statement   The Two Dimensional Model is based on the Fermi Model  so read the Fermi Model description before  continuing  The major difference between the Fermi Model and the Two Dimensional Model is the  direct representation and evolution of non equilibrium point defect populations  Therefore  there are  three different sets of governing diffusion equations  one for dopants  one for point defect interstitials  and one of point defect vacancies  In addition  you also need to take into account the  311  cluster  formation and dissolution  bulk and interface recombination  and the generation of point defects  through oxidation  Each of these are described in detail in the following sections     Dopants    The continuity equation for dopants is         Vedax 3 18       Silvaco 3 7    ATHENA User s Manual       C C E  Jax   SP ax de  Aae an  Cx Cx    where Cy and Cy are the actual concentrations of interstitials and vacancies  and C     and C   y are the  equilibrium concentrations  The fy factor is an empirical defect factor  which for interstitials is  assumed to be temperature dependent through the following Arrhenius expression     FLE     fi   FI  oexp   E 3 20       where the FI 0 and FI E parameters can be specified in the IMPURITY statement  The value of f  is  clamped to a number between 0 and 1  The equivalent term for vacancies is calculated according to     fy    1 f  3 21    The formulation of equation  Equations 3 18 a
499. odel establishes a two way coupling between the diffusion of dopants and point  defects respectively by adding the joint dopant defect pair fluxes to the flux terms of the defect  equations  which then become     a  Z  Cy   gt i    V  Jy  S J  R 3 54  4    F   7 z   A c Ac          Ae    a   VP i    Sek   Rr  Rin 3 55  A c A C    where summations run over all dopants and pair charge states  The rest of the Fully Coupled Model  Equations are identical to those in the Two Dimensional Model described in the previous section  The  effect of the correction terms only displays itself at very high dopant or high implant damage  conditions or both  where the Fermi level enhancement and point defect supersaturation will increase  the dopant diffusivities significantly     High Concentration Extension to the Fully Coupled Model    This extension to the fully coupled model takes into account additional higher order defect dopant   defect pairing the extra point defect recombination mechanisms  This model was developed at Stanford  University  14  to include higher order dopant defect interactions in the cases  where the number of  dopant defect pairs are significant  This is the case for high dopant concentration in silicon  It is  activated by the following command     METHOD HIGH CONC FULL CPL    It is an extension of the basic fully coupled model and may only be used in conjunction with the  METHOD FULL CPL command  This model includes two extra bulk recombination reactions and two  
500. of ion trajectories  1000 1  H  1000000  Relative smoothing  0 25 0 00    j 050    Comment  Channel Implant     WRITE        Figure 2 29  ATHENA Implant Window       2 36 Silvaco    Tutorial       Simulating Diffusion    Simulation of thermal process steps is a focal point of SSUPREM4  The hierarchy of diffusion and  oxidation models is described in this chapter and in Chapter 3     SSUPREM4 Models     Sections 3 1      Diffusion Models    and 3 3     Oxidation Models     This section will demonstrate how to set different  parameters and models of diffusion  oxidation and silicidation  The last process will take place only if  at least one refractory metal or silicide layer is present in the structure     The parameters and models of a diffusion oxidation step can be prepared from the ATHENA Diffuse  Menu   Figure 2 30      Deckbuild  ATHENA Diffuse       Display    Time Temp   Ambient Impurities   Models   settings       Time tem perature   Time  minutes   0   jH 500 Temp   Temperature  C   500    1300   Conctant  End temperature  Ch fo  5 13    Temperature rate  C7 minh eE Rate     Ambient     Ambient  Dry 02   wet O2 Nitrogen   Gas Flow    vf Gas pressure  atm   1 00 0 00      10 00  vf HCL   2 0     10                Comment  LOCOS        WRITE  _ Properties               Figure 2 30  ATHENA Diffuse Menu    To open this menu  select Process    Diffuse    in the Deckbuild Commands menu  The Diffuse menu  has four sections  Only the Time Temperature and Ambient fields appea
501. of the Deckbuild  Window     2 2 2  Batch Mode With Deckbuild    To use DECKBUILD in a non interactive or batch mode  add the  run parameter to the command that  invokes DECKBUILD  A prepared command file is required for running in batch mode  We advise you to  save the run time output to a file because error messages in the run time output will be lost when the  batch job completes     deckbuild  run  an  lt input filename gt   outfile  lt output filename gt     Using this command requires a local X Windows system to be running  The job runs inside a  DECKBUILD icon on the terminal and quits automatically when the ATHENA simulation is complete  You  can also run DECKBUILD using a remote display  For example     deckbuild  run  an  lt input file gt   outfile  lt output file gt   display lt hostname gt  0 0    2 2 3  No Windows Batch Mode With Deckbuild    The  ascii parameter is required for completely non X Windows operation of DECKBUILD  For  example     deckbuild  run  ascii  an  lt input filename gt   outfile  lt output filename gt     This command directs DECKBUILD to run the ATHENA simulation without any display of the DECKBUILD  window or icon  This is useful for remote execution without an X windows emulator or for replacing  UNIX based ATHENA runs within framework programs        Silvaco 2 5    ATHENA User s Manual       When using batch mode  use the UNIX command suffix    to detach the job from the current command  shell  To run a remote ATHENA simulation under DEC
502. of the substrate  which is dependent on the carousel rotation speed and  the efficiency of the cooling system     Note  Ifthe process has been correctly modeled  the device simulation will also be accurate if appropriate models have been  chosen     If a simulated device exhibits electrical characteristics that are totally inaccurate  you may have done  something wrong in the process simulation  Do not make the mistake of changing well known default  values in the simulators to make a curve fit one set of results because this will lead to poor predictive  behavior  Try and find the cause of a discrepancy     2 5 1  Input Information    It may seem obvious but must be emphasized that an accurate process flow is vital for simulation  accuracy  especially for Rapid Thermal Anneals  see Section 2 4 6     Simulating Rapid Thermal  Anneals  RTA  Notes    for details   Other process information required is an accurate cross section of  the oxide spacer  Modeling the spacer profile accurately ensures the lateral damage distribution due to  the subsequent source drain implants is correctly modeled     Turning to electrical data  the most important device electrical data is a plot of threshold voltage  versus gate length for the NMOS devices  Figure 2 33 shows typical plots of threshold voltage versus  gate length  In this figure  the RTA anneal temperature and times were varied to show the various  profiles that can be expected  A more typical plot is represented by the 1000  C RTA pr
503. ofile  showing a  peak value around 1 2 microns with a tail off for longer or shorter gate lengths        2 42 Silvaco    Tutorial              TonyPlot V2 6 10 A m   Files  view    Ploty  Tools    Print    Properties v  Help  gt      ajg  EFFECT OF ANNEAL TEMPERATURE ON THRESHOLD VOLTAGE  Data from VtL log             T 850C 8 mins     T 900C 4 mins     T 950C 2 mins     T 1000C 1 min     T 1050C 30 secs     T 1100C 15 secs       0  Gate Length  um              SILVACO International 1996       Figure 2 33  A plot of Threshold Voltage vs  Gate Length for NMOS devices    Gate oxide thickness measurements are also required  Be careful here if oxide thickness is measured  with capacitance voltage  C V  methods  since quantum effects in very thin oxides  less than 5nm  can  lead to inaccuracies because the actual location of the peak concentration of the accumulation charge  is not at the interface as classic physics predicts but a short distance into the silicon  Use the QUANTUM  model in ATLAS to match accumulation capacitance with oxide thickness for very thin oxides     Other useful electrical input information is data that won   t be used now but later for the calibration  process itself  testing the predictive nature of the simulation  Typical device characteristics used for  predictive testing includes threshold voltage versus gate length measurements for a non zero  substrate bias     2 5 2  Tuning Oxidation Parameters    During oxidation  interstitials are injected into 
504. oint defects  diffusing 30mm into the substrate  The lateral diffusion length of point defects should be of a similar  order     Many parameters can be used to tune the fully coupled diffusion model  The most effective for RSCE is  the surface recombination of the interstitials  KSURF   0   Figure C 7 shows threshold voltage versus  channel length as a function of KSURF 0 for a fixed DAM FACT     High values of KSURF   0 show no RSCE effect while lower values show strong increases in threshold at  lengths around 1 0 micron     Tuning RSCE using DAM FACT and KSURF 0 is possible using ATHENA  ATLAS  and VWF  Users  should note that both these parameters will affect process simulation results such as source drain  junction depth     Figure C 8 shows a graph of junction depth of an arsenic implant after a fixed diffusion as a function of  DAM FACT and KSURF 0  For a given measured result for junction depth it is clear there are a whole  set of DAM FACT and KSURF  0 combinations that can produce the correct answer  However  the effect  of each combination that matches a junction depth is not the same on RSCE        C 10 Silvaco    Hints and Tips       VWF  graphics     RSCE2 1dxjkS       Control    Print       Figure C 6  Threshold voltage vs  gate length for various values of implant damage    VWE  graphics        RSCE2 ex3       Control    Print       Figure C 7  Threshold voltage vs  channel length as a function of KSURF 0 for fixed DAM FACT    VWF  graphics     RSCE2 1dxjkS   
505. oltage roll off rate matches that of the  measured data     PMOS Tuning    PMOS devices are a special case since the boron doped Source Drain implants overall tend to absorb  interstitials rather than emit them  The reverse short channel effect in buried channel PMOS devices  can be caused by high angle implants  If high angle implants are used  the reverse short channel effect  can be tuned using the LAT RATIO1 parameter in the IMPLANT statement     2 5 5  Related Issues on using the Device Simulator ATLAS for MOS Process Tuning    It should now be known that calibrating an ATHENA process file involves using the device simulator  ATLAS to a significant extent  Hence  it   s imperative that the use of the device simulator doesn   t create  additional errors  rendering the process calibration results invalid     Fortunately  the device physics involved in simulating the conditions required to extract a threshold  voltage are not demanding  The drain voltage required to extract a threshold voltage is only 50 100mV  so effects such as impact ionization can be neglected  The field perpendicular to the gate is also  relatively low around the threshold voltage so field effects in this direction will do little effect  We  recommend  however  using at least the models SRH and CVT during the calculation  Other parameters  for silicon are sufficiently well known for silicon to the point that the results from the device simulator  are reliable     The first important point is to ensure 
506. ombination of tuning parameters available in both the process simulator  ATHENA   and the device simulator  ATLAS  and with the influence of each parameter  you can get a good match  for bipolar transistors for most device designs     Since it is usually less problematic to match the collector current for all levels of applied base emitter  voltage compared to the matching of base current  you will probably find that more time is spent  trying to match the base current for very small and very large values of applied base emitter voltage   You should  however  spend a good amount of time on making sure that the correct process models are  used in the process flow to reduce the overall uncertainty as to which parameters require calibration        Silvaco 2 53    ATHENA User s Manual       2 7  Using ATHENA for Simulating SiGe Process    The recommended method for simulating SiGe process is to treat germanium as a dopant in silicon  rather than depositing the SiGe material  This is because it allows boron diffusivities to be germanium  concentration dependant by using the model  sige parameter in the METHOD statement  You can also  define SiGe related parameters in the MATERIAL statement           The example below show a typical set of statements for depositing a 200A thick trapezoid profile SiGe  base region in an HBT may be as follows                             METHOD FULL CPL MIN  TEMP 600 MODEL SIGE   MATERIAL SILICON NIFACT SIGE 100 EAFACT SIGE 1 5 NO FLIP   DEPOSIT SILIC
507. oms  Default is 40    MION specifies the atomic mass of the plasma ions  Default is 40     QIO specifies the momentum transfer cross section  Units are m    Default is 1 7e 19    QCHT specifies the charge exchange cross section  Units are m   Default is 2 1e 19     CHILD LANG  COLLISION  LINEAR  and CONSTANT specify a model used in calculation of the  voltage drop in the plasma sheath  Default is LINEAR              IONS ONLY specifies that neutrals to be ignored in plasma simulation  Default is false    NPARTICLES specifies number of particles used for Monte Carlo calculation of the ion flux coming  from plasma  Default is 10 000     ENERGY DIV specifies number of energy divisions used for calculation of the plasma ion flux  Default  is 50     OUTE TABLE specifies the name of an output file in which complete table of simulated plasma ions  and neutral distributions is saved  The table cannot be loaded using ToNyPLoT  The meanings of the  columns in the table are           e i  index of energy from 0 to Nrow ENERGY DIV  1  where ENERGY DIV is the number of  energy divisions specified in the RATE   ETCH statement  default is 50                                             e k  index of angle from 0 to Ncol 14  The interval  0  90  degrees is divided into 15 intervals and  each of them are divided into 4 sub intervals each of 1 5 degrees wide     e Cergy lt n gt   number of ions in each sub intervals  n 0   3   e Cergyn lt n gt   number of neutrals in each sub intervals  n 0   3
508. on  North Holland  New York  1981     F Lau et al      A Model for Phosphorus Segregation at the Silicon Silicon Dioxide Interface     Appl   Phys  A  v  49  p 671  1989     Y S  Oh  D  Ward     A Calibrated Model for Trapping of Implanted Dopants at Material Interface  During Annealing  IEDM Tech  Digest  p  509  1998    P B  Griffin  and J D  Plummer     Process Physics Determining 2 D Impurity Profiles in VLSI  Devices     IEDM Tech  Digest  p  522  1986    S M  Hu     On Interstitial and Vacancy Concentration in Presence of Injection     J  Appl  Phys   v  57   p  1069  1985     S  Crowder  Processing Physics in SOI Material  Ph D Thesis  Department of Electrical Engineering   Stanford University  1995     B J Mulvaney  W B Richardson  and T L Crandle     PEPPER   A Process Simulator for VLSI     IEEE  Trans  on Computer Aided Design  v  8  p  336  1989     L Mei  M River  Y Kwart  and R W Dutton     Grain Growth Mechanism in Polysilicon     Proc  4th  Intern  Symp  on Silicon Materials and Technology  v  81  p  1007  1981     L  Mei and R W  Dutton     A Process Simulation Model For Multilayer Structures Involving  Polycrystalline Silicon     IEEE Trans  Electron Devices  v  ED 29  p  1726  1982     F  Boucard  Dopant diusion modelling in silicon for shallow junctions processing Ph  d  thesis  Louis  Pasteur University  September 2003     C  Ortiz and D  Mathiot   A new kinetic model for the nucleation and growth of  selfinterstitialclusters in silicon   Mat  Res  Soc  S
509. on  This model introduces an additional sink for interstitials  in the layers with high carbon concentration        Additional parameter to control diffusion of interstitial in SiGeC region DCARBON  E is added to the  INTERSTITIAL statement  It allows to decrease interstitial diffusivity in SiGeC and indirectly  supresses transient boron diffusion in this region        C Interpreter functions are now available for Boron diffusion model in SiGeC    It is now possible to include non equilibrium interstitials into epitaxially grown or simply  deposited silicon layer    Handling of impurity activation models has been improved  Now  the type of activation model can  be specified for each impurity material combination in the IMPURITY statement  The SOL SOLUB  and CLUSTER ACT parameters haven been added to the IMPURITY statement        The TWO DIM and FULL CPL models can be used for all semiconductor materials  There are no  verified default parameters for vacancies  interestitials and traps in materials other than Si  but  user can specify those parameters for any semiconductor material     POLYDIFF model is completely rewritten  The following new names for the model parameters are  specified in the IMPURITY statement  PD DIX 0  PD DIX E  PD EFACT  PD SEG E  PD TAU   PD SEGSITES  PD SEG GBSI  PD CRATIO  PD GROWTH 0  and PD GROWTH E  Use  help  impurity  in the ATHENA command line to find a short description of these parameters                                            Implant Simu
510. on Lines    Since it may be necessary for a statement line to contain more than 256 characters  ATHENA allows  you to specify continuation lines  If a statement line ends with a backslash      the next line will be  interpreted as a continuation of the previous line     6 1 3  Comments    Comments are indicated by the COMMENT statement or a number sign      All characters on a line  which follow a comment indicator  COMMENT or    will not be processed by ATHENA  The comment  symbol   is not supported anymore  The   should be avoided for use as a character in strings since it is  used as part of shell capabilities included in DECKBUILD           6 1 4  General Syntax Description    An ATHENA statement is a sequence of words starting with a statement name and followed by some or  all of the statement   s parameters  This manual describes the syntax for each statement in the  following way        STATEMENT NAME                      DESCRIPTION OF PARAMETER 1                            DESCRIPTION OF PARAMETER 2       Parameters are described in the following form     PARAM  lt n gt     a real valued parameter  PARAM  lt c gt     a string valued parameter  PARAM     a Boolean parameter             Boolean parameters are those that recognize the Boolean values TRUE and FALSE as valid values  In  ATHENA  Boolean parameter values are automatically set to true if the name of the Boolean  parameter appears by itself in a statement  A Boolean parameter can be set to false using the syn
511. on Models    In the previous section  an introduction to one dimensional oxidation modeling was presented  This  section describes the two dimensional numerical oxidation models implemented in SSUPREM4  The  numerical oxidation models build on the Deal Grove oxidation theory and provide the capability to  simulate arbitrary two dimensional structures     The numerical oxidation models require solving the oxidant diffusion equation at incremental time  steps at discrete grid points in the growing SiOz layer  The oxidant diffusion equation is given by    H V F 3 138    where   e Cis the oxidant concentration in SiO     e tis the oxidation time   e F is the oxidant flux     Equation 3 138 is solved by substituting Equation 3 129 for F and defining appropriate boundary  conditions at material interfaces with SiO    At the gas SiO   interface  Equation 3 127 describes the    interface transport flux of oxidant molecules  accounting for the boundary condition at that interface   The boundary condition at the Silicon  or Polysilicon  SiO  interface is described by Equation 3 130     The flux at boundaries between SiO   and other materials in the simulation structure is set to zero  By  solving Equation 3 138  the oxidant concentration is determined at each grid point in the SiO   layer   The SiO  growth rate or Si SiO  interface velocity  V   is determined at each point along the interface    by combining Equations 3 130 and 3 132 resulting in the following   kCun   vy     U 3 139  
512. on Terms   Development Models CNET aiid ies denon en eee hae ie 3 29 30  Dille as Ses eat ne nee eed aed a 5 13 Grain based Polysilicon Diffusion Models              s ssesseo 3 21 22  PEA ca Kes nahi Nl Gell anh ha 5 14 TWO SUCAM   sees eeseeseeseeeeseesteneesestesensenneeneseeseeneenennenen 3 21  KIN hy ndi Pinks Aas Mere aA Sas ies 5 13 14 Grid  Control ai iente eaten eaaa tA AM ee 2 19  6 68  MACK i ster oar E E dee dedicnea satis tes edeb th toed ne oben derek 5 14 Gulimmel Plots ieena hts becca te tae Bd Ate idle 2 48  WWOTOMAS APET E EAE 5 14   Development MOdUules                eessceesseeeeesnteeeneeeeeneeeeees 5 13 14 H   Devige eee tka Ns CAE TAR AW ae alien a eae Helmholtz equation  i te ae a t e eaa 5 9   Dirtusion Equation intao ies 3 3 4 Huygens diffraction approximation z era Aniak 5 15   Diffusion Models             ccccccccccccsseseseceeeeeeeeeeaeeeees 3 1 22  3 92  Electrical Deactivation and Clustering Models                  3 18 20 l  P E 3 5 6  Fully Coupled Model       s senesnensenenserernsrnrnernererrernerene 3 16 18 Imaging Mod  le  snittet cane ceiatectin tea sanue    5 2 6  Impurity Segregation         ssssscsssecssssseeeneeeesneeesneeeennees 3 6 7 HPU 2222 ieee crass eased tein don a es 3 1  Sr A EA N TN  gt  Gy A   Impurity Segregation MOEl             sccccsecseeecsetecseeseteeeenees 3 6 7   l ATAA AA SE I S a eo ey E A Interiace Traprain eean aeeoea DE eana 3 7   Dislocation Loop Based Enhanced Bulk Recombination              3 1 Initial Stru
513. on consists of the three stages  These stages are as follows     1  Calculation of ion  neutral  and polymer fluxes  2  Calculation of etch  polymer ejection and redeposition rates  3  Surface movement    On the first stage  the fluxes of incoming and reflected ions and neutrals are calculated on the each  segment of the surface  Computation of the ion fluxes is done by tracing the user defined number of  particles  Figure 4 11  model a   Each particle is generated at random positions on top of the  simulation area  with normal and lateral velocities randomly determined from the bimaxwell  distribution function  Equation 4 1   Then  each particle trajectory is traced until the ion is either  absorbed by the surface or back scattered out of the simulation area        Silvaco 4 15    ATHENA User s Manual       simulation limit incoming ion       Q    reflected id    rand    b C    Figure 4 11  Diagram of Plasma Flux algorithm   a  including zoom in of ion reflection models  a  amp  b     The interaction of the ion with material surface is governed by two factors  The first is the reflection  coefficient P       which is specified by the Mc ALB1 and Mc ALB2 parameters for two types of plasma  particles  The second is Mc PLM ALB for polymer particles and roughness of the surface R  which is  specified by the Mc RFLCTDIF parameter  Both factors depend on the surface material and the type of  ion  Reflection coefficient is the probability of the particle to be reflected from the su
514. onding high temperature numbers  L PDEP is the exponent of the pressure  dependence  The value given is taken to apply to  lt 111 gt  orientation and later adjusted by ORI FAC  according to the substrate orientation present                                6 74 Silvaco    OXIDE       PAR L O  PAR L E  PAR H 0  PAR H E  PBREAK and P PDEP specifies the parabolic rate  coefficients  B      ORI FAC is the ratio of B A on the specified orientation to the orientation     ORI DEP specifies whether the local orientation at each point on the surface should be used to  calculate B A  The default is true  If it is false  the substrate orientation is used at all points     THINOX 0  THINOX E  and THINOX L specifies coefficients for the thin oxide model proposed by  Massoud  14   THINOX 0 is the pre exponential factor in microns min  THINOX E is the activation  energy in eV  and THINOX  Lis the characteristic length in microns     THINOX P is the thin oxide model pressure dependence     HCL PC  HCLT  HCLP  HCL PAR  and HCL LIN is where the numerical parameter  HCL  PC  is the  percentage of HCl in the gas stream  It defaults to 0  The HCl dependence of the linear and parabolic  coefficients is obtained from a look up table specified in the model file  The table rows are indexed by  HCl percentage  Specify the row entries with the parameter HCLP  which is an array of numerical  values surrounded by double quotes and separated by spaces or commas  The columns are indexed by  temperature  Spec
515. one oxidant  e g   O and H30   the partial pressure of each oxidant  is used to calculate C    for each species  From C     k and Deg for each oxidant species are calculated in a  similar manner as that described in the pairs sections  respectively    Equation 3 138 is solved for each oxidant to obtain each oxidant   s concentration distribution in the  growing SiO    The contributions of each oxidizing species to the Si SiO  interface velocity is calculated  with the following equation     am kj Cima  ij 3 170  Ni    where ANT 3 139 has been used and j corresponds to the j       oxidant gas     The flow equations are also calculated for a mixed ambient where both O gt  and H3O exist and  COMPRESS or VISCOUS has been specified on the METHOD statement  The stress dependence of Dey and  k is a function of the composition of dry or wet oxide which depends on oxidation history  Mixed  ambient oxidation simulations take longer to solve than simple ambient equations           3 3 7  Analytical Oxidation Model    You can use the analytical oxidation models to simulate a limited set of simple structures  Possible  structures include a silicon substrate with an oxide layer deposited  or grown  on it  Since you can only  specify the mask at the left part of a simulated structure  oxidation will only occur to the right of the  mask edge  Analytical methods do not account for any real material layer located to the right of the  specified mask edge  As the oxide layer thickens  the materi
516. only Pearson IV distribution  set          the ANY   PEARSON parameter to FALSE  In all cases when B and y do not satisfy one of the mentioned  criteria  ATHENA will automatically increase B up to the value that satisfies the criterion used  In the    standard Pearson model  the longitudinal dopant concentration is proportional to the ion dose 9     C x    Of x  3 191    This single Pearson approach  method  has been proved to give an adequate solution for many ion   substrate energy dose combinations  But  there are many cases when the channeling effects make the  Single Pearson Method inadequate     Dual Pearson Model    To extend applicability of the analytical approach toward profiles heavily affected by channeling  Al  Tasch  48  suggests the dual  or Double  Pearson Method  With this method  the implant concentration  is calculated as a linear combination of two Pearson functions     Cx      f E    pf  3 192    where the dose is represented by each Pearson function fj  9 x   f1 x  and fo x  are both normalized  each  with its own set of moments  The first Pearson function represents the random scattering part  around  the peak of the profile  and the second function represents the channeling tail region  Equation 3 191  can be restated as     C x    PRA      U   Pf x   3 193    where               is the total implantation dose and              I 2 I    To use dual Pearson distribution  supply nine parameters    four moments for each Pearson function  with the dose rati
517. ons exceed the limits of 20000 points or  1000 horizontal or vertical points  the program gives an error message and exits     e Non integer specification of the DIVISIONS parameter on the DEPOSIT statement is now allowed   This allows parameterized gridding    e The INITIALIZE statement now accepts material specifications  This allows the specification of an  initial grid for any material using only LINE and INITIALIZE statements  TAG parameters for  boundary definition do not need to be specified  REGION and BOUNDARY statements are not  needed and for most commonly used boundary conditions are set up by default     e Improved grid refinements following oxidation  deposition  silicidation  etching  or other grid mov   ing steps        Silvaco D 23    ATHENA User s Manual       e This update includes a new parser function MAT1 MAT2 Y  that will return the x intersection  point between materials mat1 and matz2 for the y value given to the function  The other parser func   tion  MATI MAT2 X   returns a y intersection point given x  However  the two functions are very  different  The former allows the intersection point with gas to be found specifically for the applica   tion of extracting critical dimensions  CDs  for photolithography applications  The latter will not  handle gas material  In the case of extraction of cds a special format is used    PRINTF  GAS PHOTO Y     PHOTO GAS  Y     This is the right intersection   the left intersection  If there are more than two inters
518. onte Carlo models are removed    4  Improved Kinchin Pease Model for interstitials and vacancies generated during BCA implant is  implemented    5  AMORPHOUS parameter is now applicable to the BCA simulation    D 8 Silvaco    ATHENA Version History       D 7 2  FLASH Capabilities    FLASH diffusion model now completely corresponds to FERMI Model for silicon    Generic diffusivity formulae are now used for all available dopants instead of specific terms for  each dopant    The DIPP 0O and DIPP E parameters for diffusivity with doubly positive defects are added to the  IMPURITY statement    The electric field effect on diffusion in GaAs materials is fixed  Now the type of impurity  donor   acceptor  specified in the IMPURITY statement is properly taken into account        Germanium is set as n type dopant in GaAs and all appropriate parameters are added     Equilibrium interstitial and vacancy concentrations in compound semiconductors are now  available in the structure file and TONYPLOT     D 7 3  OPTOLITH Capabilities    New model for simulation of resist exposure process is implemented instead of old one  which used ray  tracing algorithm  It is based on the Beam Propagation Method  see Chapter 5     OPTOLITH Models      Section 5 4     The Exposure Module      The main advantage of the new method is its capability to take  into account dose dependency of the local optical properties  refraction index  of the photoresist     We   ve added a new model which allows you to simu
519. opography effects such as reflow in  ELITE can be combined with in wafer simulation of dopant diffusion or oxidation in SSUPREM4  A  previous    Hints and Tips    column  April 1995  showed how ATHENA can simulate individual process  steps from SSUPREM4 and ELITE with seamless integration  In this case  the ELITE and SSUPREM4  simulation is done on the same process step  The reflow heat cycle will also trigger diffusion of the  dopants in the silicon  including transient enhanced diffusion effects where appropriate     A single DIFFUSE statement with the REFLOW parameter can both produce reflow and dopant  diffusion  Figure C 4 shows an example of a 0 5mm contact cut to an arsenic diffusion  During the  reflow cycle at 875   C the edges of the contact cut are flowed while the arsenic is diffusing                 TonyPlot   2 4 1                                                                                                 File 7  View    Plot    Tools    Print    Properties  gt   Help F   BEFORE REFLOW HEAT CYCLE  1  z  Net Doping   cm3    0 8     21    20  4 19   06    S 18        1  z 16  2 504     A             02      oo     T    o2  z se   04    ss i a EES ESN Te POPAS IETS lee al  o 04 08 anpa 16 2 24  AFTER REFLOW HEAT CYCLE   1    Net Doping   em3    08     21    19   0 6     18   I 17        16  2  0 4      2    A  I           62     o2        als  fee Stee   oa     1  r a WSA ARE E T TO Se et et  0 04 08 aa 16 2 24    Loading file  tmp_mnt main striker andys dev cm
520. or parameters that have a significant affect on the base current in the  medium injection regime  These parameters are the Poly emitter Work Function and the Bandgap  Narrowing Effect  These parameters are described below     Poly emitter work function    If the poly emitter is described as N  POLYSILICON in the CONTACT statement for an NPN device  as  already described  the Poly emitter Work Function is then set to 4 17V and is correct for saturation  doped n   polysilicon  But if the poly emitter is not saturation doped  the work function will differ  from this ideal and have a pronounced affect on the base current and current gain in the medium  injection regime as shown in Figure 2 38  The work function of the poly gate can vary from 4 17V for  n   poly silicon to  4 17V   E   for p   polysilicon  depending on the position of the Fermi Energy   Changing the work function of the poly emitter by just 0 1V from 4 17V to 4 27V can often reduce the  current gain in half in the medium injection regime  so it   s very important to assign the correct value   The CONTACT statement below assigns a work function of 4 27eV to the poly emitter  while keeping the  other parameters the same as before        CONTACT NAME emitter SURF REC VSURFP 1 5e5 WORKFUN 4 27       The poly emitter work function can be calculated by measuring the position of the Fermi Energy at the  poly silicon silicon interface relative to the conduction band and adding this value to 4 17V  For  example  if the Fer
521. or three  dimensional targets  which is partly possible because the Monte Carlo method treats an explicit  sequence of collisions  so the target composition can change on arbitrary boundaries in space and time     The rest of the distribution is built up from a vast number of ion trajectories and the statistical    precision of which depends directly on this number     JN  As the ion penetrates a solid  it  undergoes a sequence of collisions with the target atoms until it comes to rest  A simplified model of  this interactions is a sequence of instantaneous binary nuclear collisions separated by straight line  segments  free flight path lengths  over which the ion experiences continuous  non local  electronic  energy loss  The collisions are separated  i e   the state of an ion after a collision depends solely on the  state of the ion before the collision         3 84 Silvaco    SSUPREM4 Models       The model assumes that the arrangement of the target atoms is totally randomized after each collision   i e   the target has no structure and no memory   As a result  a sequence of collisions is described by  randomly selecting the location of the next collision partner relative to the pre flight location and  velocity direction of the ion  This means that this model cannot simulate the anomalous tail  penetration observed for implanted ions into aligned single crystal targets  The model adequately  describes the ion penetration into multilayer non planar structures     Crystalli
522. orks for BCA simulation   Improved damage model and electronic stopping     Now the value of Implant Damage  is in atomic density per cm       Improved BCA model for indium and germanium implants        Silvaco    D 9    ATHENA User s Manual       D 8 2  Miscellaneous Features and Bug Fixes    1     aoa PR WN    The memory problem that use to result in failure during multiple implant steps with  FULLROTATION has been fixed     Several problems related to switching from 1D to 2D simulation have been fixed   Missing donor acceptor concentrations after BCA implant have been added   311 cluster distribution after BCA implant has been added    Wrong oxide thickness after several consequent viscous oxidation steps has been fixed   Reading of some DEVEDIT structures into ATHENA have been fixed     The license for SILICIDE material model is now checked only when silicidation process starts   This allows to have structures with deposited silicide materials without having the license        D 9  ATHENA Version 4 5 0 R Release Notes  D 9 1  SSUPREM4    Implant Simulation Features    1     New Binary Collision Approximation Module for Monte Carlo type simulation of ion implantation  in amorphous and crystalline materials is implemented    The parameter BCA is used to turn on this model  BCA and MONTE are mutually exclusive    This module is much more accurate than previous Monte Carlo implementations  It is able to  accurately calculate implant profiles in difficult cases of well channel
523. osition parameters and the machine name for one of ten deposition  models available in ELITE        MACHINE specifies the machine name for the RATE   DEPO statement              MATERIAL specifies material to be deposited by the deposit machine  see Section 6 2 9     Standard  and User Defined Materials    for the list of materials      NAME RESIST specifies the name of photoresist to be deposited     CONICAL  CVD  PLANETARY  UNIDIRECT  DUALDIRECT  HEMISPHERIC  MONTE1   MONTE2  CUSTOM1 and CUSTOM2 specify a particular model for the machine definition             DEP RATE specifies the deposition rate used by the models CONICAL  CVD  UNIDIREC  DUALDIREC   HEMISPHE  PLANETAR  MONTE1  and MONTE2  DEP RATE is a rate multiplier for the CUSTOM1 and  CUSTOM2 models                                      INFILE specifies the name of a file containing angle and deposition rate information for the CUSTOM  model        A H  A M  A S  U H  U M  U S  and N M specify that the deposition rate DEP  RATE is in Angstroms  per hour  Angstroms per minute  Angstroms per second  microns per hour  microns per minute   microns per second  and nanometers per minute  respectively  Default is A  S           STEP COV specifies the step coverage used by the model CVD        ANGLE1 specifies the angle parameter used by the models HEMISPHE  DUALDIREC  and PLANETAR       CONICAL  UNIDIREC                             ANGLE2Z specifies the angle parameter used by the models DUALDIREC  PLANETAR  and HEMISPHE    
524. ow of the material is to be performed during the  diffusion step     Predeposition Example    The following statement specifies a 1000    30 minute boron pre deposition        DIFFUSE TIME 30 TEMP 1000 C BORON 1 0E20                   Oxidation Example    The following statement instructs the simulator to grow oxide for 30 minutes in a dry oxygen ambient        DIFFUSE TIME 30 TEMP 1000 DRYO2                Gas Flow Example    The following command performs diffusion with a mixed ambient with relative components of oxygen   hydrogen  and HC1 of 10  10  and 0 1 respectively        DIFFUSE TIME 10 TEMP 1000 F 02 10 F H2 10 F HC1  1                Hydrogen and Oxygen are combined in a ratio 2 1 to form the ambient WETO2  Any excess hydrogen  is considered inert  Any excess oxygen is considered as the ambient DRYO2  Since the total pressure of  the gas flow is defined  or defaults to one atmosphere  the partial pressure of WETO2 will be reduced  if any excess hydrogen or oxygen is present     File Output Example    The following commands perform diffusion in dry oxygen ambient for 30 minutes at 1000   C  After  every second timestep a structure file is written with a name prefix TEST  Following the diffusion  the  TONYPLOT statement plots each timestep output file in a manner suitable for creating a diffusion movie           6 26 Silvaco    DIFFUSE       A SYSTEM command is used to execute a UNIX command prior to the diffusion step to remove all  TEST  str files from previous run
525. own in Figure 3 18     TonyPlot V2 6 9 E p         vy  View v  Plots  Tools  gt   Print  Properties  gt   Help 7  _       gt    X Default  Oo   S improved    i      f  5  z  E  5    Depth   Microns         SILVACO International 1996    Figure 3 18  Comparison of Arsenic Profiles in Silicon with Default Grid Spacing and Improved Grid Spacing in  the Growing SiO  layer     Oxidation Enhanced Diffusion  OED    Oxidation Retarded Diffusion  ORD     During silicon thermal oxidation  some of the dopant in silicon gets incorporated into the growing SiO    layer and some remains in silicon where it diffuses  As oxidation proceeds  silicon lattice atoms become  interstitial  interstitials are injected into silicon at the Si SiO  interface  as oxygen molecules are  incorporated into the lattice to form SiO    Due to the injection of interstitial defects during oxidation   you can enhance dopant diffusivities  To properly simulate this effect  you must include the creation  and movement of point defects  vacancies and interstitials in the simulation  By specifying TWO DIMin  the METHOD statement before the oxidation step  non equilibrium point defect concentrations   including injection and recombination at the Si SiO  interface  are included in the simulation  For  more information on point defect diffusion kinetics  see Section 3 1     Diffusion Models                 Silvaco 3 61    ATHENA User s Manual       Note  Figure 3 19 compares the boron concentration profiles after an oxidat
526. ows     F   k      AG Vo  exp    ik  x dx 5 25    After propagating over a small step  Ay  each component of F4 obtains additional phase shift    2 2  corresponding to the value of k yee yk     k    Thus  the amplitude distribution at new y  without  accounting for absorption  can be written as follows     5 26  A x  Yo   Ay     Fu exp  ik  Ay  exp  ik  x dk      Since actual material optical properties differ from the properties of vacuum  the field at the new  plane is computed simply as follows     E x  y      Ay    A x  y exp  ik n x  x      1 Ay  T    The algorithm is repeated recursively step by step over all simulation domains  The same calculations  are then applied to reflections from all interface segments  The current intensity distribution is  calculated from the field distribution as    2  I x  y     E x  y   5 28    During the exposure  the resist structure is modified  So  the dissolution inhibitor is converted to the  photo reaction product  The initial normalized concentration of photoactive compound  PAC  is defined  by local intensity magnitude as     Mpac   exp  Cl x  y   5 29    where I x y   is the current intensity distribution  and C is Dill   s C parameter  Accordingly  the optical  properties of the resist  complex refraction index n  which includes both refractive and absorption  indices  are modified too  The capability to take into account is the effect of dose  intensity  on the  refraction index n  which is implemented into the module and the fo
527. p  history1 1 str   OK    SILVACO International 1995      Figure C 4  Simulation of simultaneous dopant diffusion and glass reflow in ATHENA    Question     How can dielectric reflow be modeled  Which calibration parameters are important for tuning the  reflow        C 8 Silvaco    Hints and Tips       Answer     ATHENA contains a model for the reflow of materials as part of the ELITE module  The model treats  the dielectric material  i e   SiO2  BPSG  as an incompressible viscous fluid  The material is then  deformed under the driving force of the surface tension of the topography  The calculation of the  changing topography of the material then proceeds according to the applied time and temperature           The reflow model for a given material is enabled by setting the REFLOW parameter on a MATERIAL  statement  In addition  the parameter REFLOW should be given on a DIFFUSE statements  corresponding to the flow heat cycle  The following is typical syntax                       MATERIAL OXIDE VISC 0 1 862E 20 GAMMA REFLO 1E3 REFLOW  DIFF TIME  time  TEMP  temp  REFLOW                               This example syntax also includes two of the most useful tuning parameters  VISC 0 sets the viscosity  of the oxide  GAMMA REFLO sets the surface tension factor for the flow calculation        Figure C 5 shows the results of an example of reflow calculation with ATHENA  The initial structure  has a set of 1 micron contacts with a 2 micron pitch after the anisotropic contact etch  T
528. pecified in the model file  The  analytic implant capabilities for these materials are as follows  SiGe uses Si moments tables where  they are available  AlGaAs  InGaAs  and InP use moments tables for GaAs where they are available   The Monte Carlo implant capabilities are as follows  SiGe uses the Si crystal lattice  AlGaAs and  InGaAs use the GaAs crystal lattice  InP uses its own crystal lattice     Carbon has been added as a dopant for GaAs with diffusion coefficients and implant tables borrowed  from Beryllium until better data is found     D 13 4  OPTOLITH Capabilities    Problem with annular sources for exposure has been fixed   D 13 5  Known Bugs    GPLOT visualization plots do not work when remotely displaying on Solaris 2 4     D 14  ATHENA Version 2 0    Version 2 0 of ATHENA incorporates a number of new models as well as convenience features  The  FLASH module is now available as a component of ATHENA  ATHENA now includes a Monte Carlo  based deposit algorithm and a reflow calculation     D 14 1  ATHENA Capabilities    ATHENA Framework capabilities have been enhanced by the inclusion of some helpful geometric  manipulations  Namely     e The STRETCH statement has been extended to allow vertical stretches to easily extend structures    for device analysis or point defect based diffusion calculations  The parameter  Y VAL on the  STRETCH statement specifies the vertical position in the structure at which the stretch will occur     e The ETCH statement has been exten
529. pond to a mask name contained in the layout  file invoked using DECKBUILD  The mask names are case sensitive and cannot be abbreviated     REVERSE specifies that the mask polarity should be reversed or that negative type photoresist  should be modeled     DELTA specifies an offset in mask size  The offset corresponds to a change in CD  critical dimension   of the mask  Each edge of the mask is moved by a distance DELTA to enlarge or contract the mask  feature        Examples    The following statement deposits photoresist on the top of the simulation structure and etches it with  the pattern prescribed by the MaskViEws layout  The layout file must be specified using the MASKVIEWS  interface as described in the VWF INTERACTIVE TOOLS USER   S MANUAL  VOL  II or in Chapter 2     Tutorial        MASK NAME  CONT           For more examples  see STRIP        Silvaco 6 61    MATERIAL ATHENA User s Manual       6 35  MATERIAL    MATERIAL sets the coefficients for materials              Syntax    MATERIAL          MATERIAL   NI 0  lt n gt    NI E  lt n gt    NI POW  lt n gt    EPS  lt n gt    E FIELD   VISC  0  lt n gt    VISC E  lt n gt    VISC X  lt n gt    WETO2 DRYO2    YOUNG  M  lt n gt    POISS R  lt n gt    LCTE  lt c gt    INTRIN SIG  lt n gt     OXIDIZABLE   DENSITY  lt n gt    COMPONENTS    AT NUM  1  lt n gt    AT NUM  2  lt n gt    AT NUM  3  lt n gt    AT NUM  4  lt n gt     AT MASS 1  lt n gt    AT MASS 2  lt n gt    AT MASS 3  lt n gt    AT MASS  4  lt n gt    ABUND 1  
530. projection pupil that is opaque over a square  annular region     PUPIL FILTER SQUARE  PUPIL FILTER IN RADIUS  1 OUT RADIUS  2 PHASE 0 TRANSMIT 0                               For more examples  see IMAGE  ILLUMINATION  PROJECTION  ILLUM FILTER  LAYOUT  and  ABERRATION                                                  6 84 Silvaco    QUIT       6 47  QUIT       QUIT terminates execution of ATHENA  The      EX             QUIT statement        Syntax  QUIT    Description       IT  STOP and       BYE    a          statements are synonyms of the    All statements after a QUIT statement will not be checked or executed        Silvaco    RATE DEPO ATHENA User s Manual       6 48  RATE DEPO    RATE DEPO specifies the deposit rates of a machine  which is used in a subsequent DEPOSIT  statement                    Syntax    RATE  DEPO                MACHINE  lt c gt  MATERIAL   NAME RESIST  lt c gt    CONICAL CVD PLANETAR UNIDIRECT  DUALDIRECT    HEMISPHERIC  MONTE1   MONTE2   CUSTOM1   CUSTOM2  DEP  RATE  lt n gt   INFILE  lt c gt     A H A MJA S U S U M U H IN M     STEP  COV  lt n gt    ANGLE1  lt n gt    ANGLE2  lt n gt    ANGLE3  lt n gt     C AXIS  lt n gt    P AXIS  lt n gt    DIST PL  lt n gt                                                                                 SIGMA DEP  lt n gt    SIGMA 0   SIGMA E  SMOOTH WIN  lt n gt    SMOOTH  STEP  lt n gt    MCSEED  lt n gt    STICK COEF  lt n gt        pan                      Description    This statement is used to define dep
531. ques  A common syntax and user interface  however  allow you to switch  easily from one module to another  Some specifics of the proximity lithography and a brief theory used  in the proximity printing module are described below     5 7 1  General Description of Proximity Lithography    Proximity lithography is used to print images of masks without expensive projection systems  It has  internal resolution limits but still applicable for relatively large objects  Figure 5 3 shows the optical  scheme of proximity lithography        Figure 5 3  Scheme of proximity optical system     Light illuminating the mask creates a diffraction image in resist film  placed on some distance  gap   from the mask  Due to diffraction effects in the gap  the image in the resist film is a distorted mask  image  Distortion depends on the gap size and on the radiation wavelength and size of printed  features  The reliability and longevity of the mask also depends on the gap  Therefore  identification of  the optimal printing conditions is a very important technology design task     5 7 2  Theory of Proximity Printing    A formal description of diffraction on a gap can be found in any classical book on physical optics  The  Fresnel diffraction is applicable here  Usually  the Fresnel diffraction integral is obtained by applying  Huygens diffraction approximation  It can be derived from the    first principles    by applying specific  limitation to common wave equation  The goal is to obtain distribut
532. r  and an object oriented hierarchical  representation of the impurity and defect transport models  The ILFEM module solves impurity and  defect transport equations much faster than previous SSUPREM4 solvers  It also has better  convergency                    The following diffusion models are currently implemented within the ILFEM module  FERMI   TWO DIM  FULL CPL  311 CLUSTERS  and HIGH CONC  It also handles all corresponding boundary  conditions  including impurity segregation  defect generation and recombination models           D 10    Silvaco    ATHENA Version History       To activate ILFEM use        METHOD ILFE          To disable ILFEM use  METHOD ILFEM f             The ILFEM module is currently applicable to the following     e impurities  boron  phosphorus  arsenic  antimony  and indium     e materials  silicon  polysilicon  oxide  nitride  and aluminum   D 9 2  ELITE Capabilities    A new Monte Carlo Etch Module is implemented  The main application of this module is the  simulation of plasma or ion assisted etching  The module can take into acccount the redeposition of the  polymer material generated as a mixture of incoming ions with etched  sputtered  atoms and  molecules of substrate material     C Interpreter can be used for introduction of user defined etch and ejection rate models   D 9 3  Generic ATHENA Capabilities    Active concentration calculations are improved  Previously  all existing impurities in the structure  were set to completely active after 
533. r bisector of adjacent segments   For A    the  process is anisotropic yielding vertical sidewalls  see Figure 4 9      Figure 4 10 illustrates the regions of significance for each component in the RIE model  The shadowing  effect is accounted for by the riso component in the shadowed area          Incident Flux                      Initial line of action                           r    gir   COS Q Advance due to fair       r   dir    Figure 4 9  Point Advance due to Directional Influence                                     Incident Flux  Mask Mask       l Tiso    A  A r   Tigo  r  Figo Fir   COS Q  p  liso   Tar    Figure 4 10  Regions of Significance of rgir and risc       Silvaco 4 13    ATHENA User s Manual       4 4 3  Dopant Enhanced Etching    Dopant enhanced etching is a feature included in ELITE and allows the etch rate at any point on the  surface to be changed depending on the value of any solution variable present  The etch rate at any  point is then given by the formula    ER       1   enh ERM 4 14    where ER     is the enhancement or retardation due the presence of particular dopant  All impurities  as well as interstitials  vacancies  and stress solutions S    Szy and Syy can be specified in the model     This enhancement is calculated using the formula    enh   0 5enH  max tanh ENH SCALE S     ENH  MINC    1   4 15       where ENH MAX is the maximum value of enhancement or retardation  ENH MINC gives the solution  value below which enhancement decays and ENH S
534. r called POW FACTOR has been added as the power  of the energy ratio  energy ratio   1000  current ion energy  linitial ion energy   of the ion  The  default value of POW FACTOR   0 5 or is the square root of the energy ratio  These parameters  apply to both the CRYSTAL and AMORPH implants     The Hobler electronic stopping model and its parameters were originally for Boron in Si crystal  implants  The Hobler model is used by default for Boron in Silicon  It can also be used for Si with  any impurity by specifying HOBLER on the IMPLANT statement  The Hobler parameters and their  default values are PMAX HOBLER   2 35  XNL HOBLER   0 4  and FHOBLER   0 8  PRE FAC   TOR can also be used with the HOBLER model     C Interpreter Capabilities    The C Interpreter has been integrated into ATHENA  The first models accessible by the C Interpreter  are for the phosphorus  arsenic  antimony  boron  interstitial and vacancy diffusion coefficients  The  latter two are only applicable for the advanced diffusion models  The file name for model substitution  is set on the DIFFUSE statement with the string parameter P DIF COEF    lt filename gt   This syntax is  valid for all of the above with the string parameters being P DIF COEF  AS DIF COEF  SB DIF COEF   B DIF COEF  I DIF COEF and V DIF COEF for phosphorus  arsenic  antimony  boron  interstitial and  vacancy diffusion coefficients respectively  The segregation calculation can also be accessed by the C  Interpreter for phosphorus  arsenic 
535. r initially  The  Impurities and Models fields appear only when the corresponding check boxes are selected     The minimum set of diffusion step parameters is as follows   e Time  e g   60 minutes   e Temperature  e g   1100   Celsius   e Gas pressure  1 atmosphere is default    The following input file statements will appear       DRIVE IN  DIFFUSE TIME 60 TEMP 1100 NITRO PRESS 1 00                      Silvaco 2 37    ATHENA User s Manual       If you choose the Ramped box and End Temperature or Temperature rate  a ramped temperature  thermal step is simulated  The temperature rate is a variable by default  but it can be set to a specific  constant temperature rate by selecting Constant in the Rate box  If the End Temperature is set to  1000  the following lines appear       RAMPING DOWN  DIFFUSE TIME 60 TEMP 1100 T FINAL 1000 NITRO PRESS 1 00                      The same pull down menu used for inert diffusions is also used for oxidations described in the     Simulating Oxidation    Section on page 2 39  But  since there are special considerations for inert  diffusions which come under the category of Rapid Thermal Anneals  RTA   the special notes  pertaining to this specific set of conditions are described in the next section  These notes are very  important for accurate simulation of high temperature  short duration anneals  We recommend that  you read these notes before attempting to write the RTA section of the input file     2 4 6  Simulating Rapid Thermal Anneals  R
536. rabolic approximation for depth dependent f  will be used if the FULL  LAT parameter is used in       the IMPLANT statement and when mixed spatial moments   SKEWXY parameter  and  Yay xy     KURTXY parameter  are non zeros  In the case of the Dual Pearson longitudinal function  the mixed  spatial moments for the second Pearson  SSKEWXY and SKURTXY  can be also specified  The values of  spatial moments are not yet included in the default moments tables and should be specified in the  MOMENTS statement  see the    Specification of Implant Parameters in the Moments Statement    on page  3 76      Non Gaussian Lateral Distribution Functions          Detailed Monte Carlo simulations  55  and  56  also show that in most cases  transversal distribution  function  f  is not Gaussian  In other words  the transversal kurtosis By is calculated as    o0    P     fina y y dxdy 3 217     0  and is not always equal to 3 0 and also depends on depth  Several non Gaussian transversal  distribution functions were examined in  46   Their conclusions were as follows  The symmetrical  Pearson functions  type II for S3 and type VII when B   gt  3  are acceptable  providing an    agreement with amorphous Monte Carlo simulations and have computational advantage because they  can be integrated over x in a closed form through incomplete beta functions  57      Another good alternative for transversal distribution function is the Modified Gaussian Function   MGF  suggested in  55   It is shown in  57  t
537. rare event algorithm uses the integrated dose as  a criterion when to split  73      ATHENA uses the same criterion to determine the splitting depths  Dose integration is carried out  along the radius vectors of ions  co ordinates thus  roughly taking into consideration the three   dimensionality of the ion distribution     Threshold s tates depths                Initial impact    Figure 3 25  Restarting Collision Events by splitting at    m    thresholds    Due to the discrete nature of collision cascades  the number of sub trajectories created at each split  depth should be an integer number greater or equal to two  Suppose T  is the event at each threshold  state  i e   this is the event of ion passing through a split depth   Suppose also the probability of an ion  being in state T _  to reach the state T  is p  P T    T _   Then  the recommended number of replications  at each threshold  a split depth  is R  1 P  This relation gives the link between the number of  replications at each split and the criterion to identify the threshold states  i e   the split depths      If R  2  then the number of ions passing through split depth will be twice smaller the number of  particles passing through split depth  In ATHENA  the criterion to determine the split depths is the  integrated dose along the radius vectors of stopped particles  d   i e   split depths d7  dy  d3  and so on  will be at doses 0 50  0 75  0 8750  and so on  where     is the total retained implant dose         
538. re Using ATHENA           00  cece eee eee eee eee eee eee etn e en neee 2 7  2 3 1  Procedure Overview iii  vecse inet avenladlsw eae wbebeekebewiywce ages teks edioweeisd 2 7  23 2  ATHENA INOUUOUIDUL s ieren saarna ce sae ents tense tae or eee ee ete hte E E E RE 2 7  2 3 3  Creating An Initial Structure         nnan nn ede geks pete eno wela ee Wa awie ete yeesy 2 8  2 4  Choosing Models In SSUPREM4          0c cece cece eee ene 2 30  2 4 1  Implantation  Oxidation  RTA  Diffusion and Epitaxy             00  cece eect eens 2 30  2 4 2  The Reason for Multiple Models for Each ProceSS   1    0    c eee eect tenes 2 30  2 4 3  Choosing an Appropriate Model Using the Method Statement              000  cece eens 2 30  2 4 4  Changing the Method Statement During the Process Flow             ccc eee eee eee eee ee 2 31  2 4 5  Modelling the Correct Substrate Depth           0    ccc eee eee 2 32  2 4 6  Simulating Rapid Thermal Anneals  RTA  Notes           0    cece eee e eet eee eee 2 38  2 4 7  Simulating Oxidation         n s ehaince ts cee arn ax yaks Beene aus A estan ne Ue conte tap aehittens ak St 2 39  2 4 8  Simulating the Epitaxy Process     sc  vi45 Seeds phe eendy iia weeks Peli Pe eee es 2 40  2 5  Calibrating ATHENA for a Typical MOSFET FIOW         0 0  c cece cece eee e eee eee eens 2 42  2 5 1  Input Information  vs Peta eoe aa ladle kala wea bei Hews eee eee bewis bedlageeds 2 42  2 5 2  Tuning Oxidation Parameters ic 2e ze es nre tea te eceteee ae om dewee da
539. re defined as a simple Arrhenius  functions     EF  eq _ Er eq_    0 F    L K  exp   74   V  Ky e   iT 3 76    where E  and E  represent respectively the formation energy for I and V  and K    K  are  coefficients  These parameters are specified in the defect  mod file   Dopant defect Pairs    Using the same assumption as for point defects  the dopant defect pairs are defined by the charge  states of  1  0   1     For boron pairs we have                    s  _ C0   ae ea 2 3 77  ee   Cre  ae Par rawr l  243  nag  2 ey pe  BI  K s 1  44   mongey pD    i  k 6  BV re     The BV pairs exist  however  with positive   1  and neutral  0  charge states only  Moreover  it is well  established BI pairs exhibit a negative U behavior and neutral state is unstable  Therefore  the three    charge states BI   BI  and BI are considered        Silvaco 3 25    ATHENA User s Manual       For donor impurities  the three charge states for dopant interstitial pairs are also considered  Though  only AV and AV  are known to exist  E centers                        f K  9 E  Ap  a n   Al  nO   Al X sop 3 79  nr  AI K  32   33  1   j K  AI  K  s t   54  ay     n Jawo  nO cn  cal z  6   oe  3 80   s  AV K   lt 1  53  i   AV  7  5   AV    The Ky  and K y parameters for both donors and acceptors represent the pairing coefficients for the  dopant interstitial and dopant vacancy pairs and are defined by following formula             x  Eat     _ x  Egy  3 81  Ko    Ky  exp   iT     Ko    Ko  exp    7    Fu
540. rface  Roughness  determines how the ion is reflected  If R   0 the reflection is specular  Figure 4 11  model b   If R   1   the reflection is random with uniform angular distribution  Figure 4 11  model c   In general  the    velocity v  efl of the ion after a collision with a surface segment could be presented as follows     Vrefl   O ion is absorbed    ifx  gt Prefi 4 17  Vig Vp CER  RV eg Rif x gt  Prefi 4 18  where    Soy is the ion velocity after specular reflection        4 16 Silvaco    ELITE Models          V anq is the ion velocity after random reflection     e xis arandom number        Vpl   Wrandl   lv    where v  is the velocity of incident ion     Each absorbed ion is used to compute the incoming flux F  at the surface segment  The following    characteristics describe the flux     IN ise E Nabs TON raj 4 19  where      Norm is the normalized number of absorbed particles     e Nps is the number of absorbed particles     e N    traj is the number of trajectories specified by the MC PARTS1 and MC PARTS2 parameter for each    type of plasma particles  and by the MC POLYMPT parameter for polymer particles     e normalized normal Views and tangential Vv      velocity components of the absorbed particle  ands k    before the encounter with the surface     I  Viabs   N Vi 4 20  traj Ne  I  v   v 4 21  labs   Dl  Nabs    e normalized kinetic energy of absorbed particles     2 I 2  ee       4 22  raj N     Calculation of Polymer Fluxes    After ion and neutral fluxes ar
541. rimental data from  25      Although not corresponding to the modern deep sub micron technologies  this simulation represents  the high dopant concentration features that reveal the complex couplings between dopant and point  defects  Therefore  it is considered as a meaningful basic test for any advanced diffusion models     Although this model has been developed for advanced silicon technologies  it still can be used as the  standard diffusion model for any diffusion step  For example in the case of buried layer formation  the  TED phenomena become irrelevant  Therefore  you can use only CDD part of the PLS model while  ignoring IC and DDC models     Phosphorus    To illustrate the improvements that result from the CDD model  we show simulations of phosphorus  predeposition profiles at high and intermediate concentrations  The simulation results are compared  to the SIMS data of Yoshida and Matsumoto  This experiment represents high dopant concentration  features that reveal the complex couplings between dopants and point defects  Therefore  it is  considered as a meaningful test for advanced diffusion models        Silvaco 3 37    ATHENA User s Manual       Ej TonyPlot Y2 8 18 A  Files  View v  Plot    Tools     Print    Properties  gt   Help  gt      PLS Model  Phosphorus Predeposition at 900C for 1 hour        ite  z            3     5  a               I  A       Click to place   P changes alignment  or drag to get leader    SILVACO International 2004    Figure 3 4  Simu
542. ring like nature of  the pad surface  KINETIC FAC is the multiplier which increases the vertical component of the hori   zontal polish rate on sloped surfaces  KINETIC FAC increases the vertical polish rate as the surface  becomes more vertical     An isotropical rate component is also available on the RATE POLISH statement via the ISOTRO   PIC parameter        The two polish models  HARD and SOFT  can be used together or separately  The isotropic compo   nent can be added to either polish model  The polish is initiated by the POLISH statement  The syn   tax of the POLISH statement is very similar to the ETCH statement for machine etches     Temperature dependence has been added to the surface diffusion model for ELITE deposits  The  RATE DEPO statement now includes SIGMA 0 and SIGMA E for this model  The dependence is  SIGMA DEP   SIGMA 0 EXP  SIGMA E KT   Temperature is entered on the DEPOSIT statement     The string advance algorithm and the diffusion algorithm have been modified to give a more realis   tic movement     The WET  RIE  etch capabilities of ELITE have been converted from a string based algorithm to a  mesh based algorithm  This gives greater accuracy when etching near boundaries     The CUSTOM deposit has been renamed to USER DATA 1  CUSTOM remains as an alias for this  deposit model  A new user deposit model was created that allows the same form of input file as  USER DATA 1 but also contains all of the functionality of the UNIDIREC model including shadow   
543. rity concentration in substrate material  see Section 6 2 10      Standard Impurities    for the list of impurities   Multiple parameters can be used to define  compensated doping in the substrate material     RESISTIVITY specifies the resistivity of the initial substrate material  If RESISITVITY is specified   the impurity concentration specified by C     parameter will be ignored and calculated from the  resistivity vs  concentration tables  which are available only for boron  phosphorus  arsenic  and  antimony  The units are Q cm                    C INTERST specifies the uniform interstitial concentration in substrate material  Units are cm     C VACANCY specifies the uniform vacancy concentration in substrate material  Units are cm        BORON  PHOSPHORUS  ARSENIC  ANTIMONY specify the type of impurity when initial doping  is defined by RESISTIVITY parameter                       6 52 Silvaco    INITIALIZE       NO IMPURITY specifies that the calculation be performed without impurities  No impurities will be  introduced during the simulation  This speeds calculation and allows quick analysis of oxidation   deposit  and etch results     Parameters Related to Dimensionality of Simulation    ONE D  TWO D  AUTO set whether the run will be in 1D  2D  or the dimensionality automatically  determined from the process flow  AUTO is the default  If ONE  D is used to select a 1D calculation  The  calculation will be performed at a location indicated by the X LOCAT parameter  TWO D
544. rlo simulation of  stopping and ranges  i e   ion implantation   A similar method was first used by Phillips and Price to  simulate hot electron transport  71   Yang et al  were the first ones to apply the rare event algorithm  was applied to simulation of transport phenomena of ions in matter  72   Then  Beardmore et al   significantly refined this algorithm  73      A brief but comprehensive review of trajectory splitting methods used in modelling of ion implantation  is given in  74      With the rare event trajectory splitting technique  the speed up is due to changes in the statistical  behavior so that rare events are provoked to occur more often  The rare event algorithm in ATHENA  achieves this by identifying subspaces from where it is more likely to observe given collision event   followed by making replicas of the cascade sequences that reach these subspaces        Silvaco 3 85    ATHENA User s Manual       Figure 3 25 illustrates the trajectory splitting and restart of events  replicas  as a new threshold is  reached  When applying splitting to collision cascades  or any other specific system   the two things  that need to be determined are when to split and how many sub trajectories to create when splitting     There are different criteria that can be used to obtain the threshold states when splitting need to  occur  For example  Bohmayr et al  use a trajectory split method based on checking the local dopant  concentration at certain points  75   Beardmore et al  
545. rode Type    Specified Position AUTO    Name  source    Wi X Position   0 9  0 00  k 16 00    OD YPaestien  200 it mo pRiN       Figure 2 24  ATHENA Electrode Menu    The following backside electrode statement will appear in the input file           ELECTRODE NAME BACK BACKSIDE                      If an electrode name is not specified  DECKBUILD issues the error message  NO ELECTRODE NAME  SPECIFIED and the command is not written to the input file                                If an incorrect position for electrode is specified  for example        ELECTRODE NAME JUNK X 0 6                   ATHENA will output the following warning message  Cannot find the electrode for this  structure  Electrode statement ignored and ignores the statement              Saving a Structure File for Plotting or Initializing an ATHENA Input file for Further Processing    As mentioned in the    Standard Structure File Format    Section on page 2 8  the DECKBUILD history  function saves structure files after each process step  In many cases  however  you need to save and  initialize structures independently  There are several reason why it   s needed to save and initialize  structures independently     The first reason is because the stack for the history files is limited  50 by default   The second reason is  because it is usually undesirable to keep dozens of history files on disc  each of which occupy hundreds  of Kbytes  after the DECKBUILD session ends  The third reason is because users often
546. roduced during ion implantation  scaled to the dopant  and  within two user defined concentration thresholds  For example  you can scale clusters to 1 4 times the    dopant concentration but only exists between the dopant concentrations of 1e19 and 1e17 cm     This  allows a scalable approach  where clusters will follow implanted dopant as energies and doses vary   see Figure 3 26   The following syntax to both switch on and control the cluster model damage scaling     METHOD FULL CPL CLUSTER DAM  CLUSTER CLUST FACT 1 4 MIN CLUST lel7 MAX CLUST 1lel19 PHOS    See    RTA Diffusion Modelling    on page 3 18 on how to use the  311  clusters during RTA                       ae La       LUST  EACT  DSP IS    acim plunted    Figure 3 26  Cluster Damage Control    Dislocation Loops Model    Dislocation loops can also be scaled to the as implanted dopant profile  Loops are introduced as a  simple static band to act as an interstitial sink  Here  interstitials will be recombined at an enhanced  rate according to    Rate  damalpha  C  C     3 238       3 88 Silvaco    SSUPREM4 Models       Here  Cy is interstitial concentration and C  is equilibrium interstitial concentration   Loops are placed in a band scaled to dopant concentration with the following command before  implantation    DISLOC LOOP MIN LOOP 1e16 MAX LOOP 1le18 PHOSPHORUS    The recombination rate within the loop band is controlled as follows        INTERSTITIAL SILICON DAMALPHA 1e8       C Interpreter Model    The C Inte
547. rometers  The second line should contain the  number of points  The following line should contain the position and then the intensity of the first  point on the same line  This should be repeated for each point  This input file is read in the EXPOSE  command using the following format                    EXPOSE INFILE    EXP                      2 82 Silvaco    Tutorial       Once the intensity array is initialized or when the Fourier spectrum data is in memory through the  IMAGE command  you can expose a structure if it exists in memory and if it has photoresist as its top  layer s   You can either create the structure in the input file or initialize it as described in Section 2 3      Creating a Device Structure Using ATHENA                    The EXPOSE command has many parameters that control the accuracy and speed of the exposure  simulation  as well as related imaging parameters  The following parameters control simulation speed  and accuracy and are unnecessary for a preliminary simulation           FLATNESS  NUM REFL  FRONT REFL  BACK REFL  ALL MATS                         The most important of these parameters is the FLATNESS parameter  If FLATNESS is set equal to zero   the algorithm uses the entire grid for the calculation and may lengthen the simulation time  The  remaining parameters refer to the image to be exposed  Both TE and TM modes are available in  exposure  but they must be performed separately  Select TE by adding the PERPENDICULAR  parameter to the EXPOS
548. ropriate to set 700  C temperature limit since for most models the default  diffusion parameters are not well known at lower temperatures                    Numerical rounding bug is fixed in geometrical calculation for very flat triangles during oxidation   Improved triangulation during oxidation which reduced probability of creating extremely small  triangles    The parameter TWO DIMin the STRUCTURE statement now always forces 1D to 2D transformation    of the current structure  Before it was applied  this happened only when structure was written into  the outfile        D 4    Silvaco    ATHENA Version History       14     Increased number of material regions up to 1000  which allows you to create a super lattice  structures consist of hundreds of layers     D 4 3  ELITE    1              Etch rate retardation can be specified in the RATE  DOPE statement        D 5  ATHENA Version 5 8 0 R Release Notes  D 5 1  SSUPREM4    Diffusion Simulation Features    1   2   3     10     A complete set of Advanced Diffusion Models is implemented  see Section 3 2     The earlier implemted CNET model and all related parameters are removed    The Boron diffusion model in SiGe is extended to include effect of diffusion suppression by carbon  incorporation    Additional model for suppression of boron transient diffusion in SiGeC is implemented  There are  experimental indications that interstitials tend to  disappear  or  get trapped  more intensively in  SiGe layer with substitutional carb
549. rpreter capabilities shown in Appendix A     C Interpreter    allows you to extend control over  the damage formation models described  The template for the implant damage model function is also  shown in Appendix A  The function is introduced by setting the DAM MOD parameter in the IMPLANT  statement  The user defined damage model introduced in the function will be used only within the  current IMPLANT statement  All subsequent implants will use the default damage models     3 5 6  Stopping Powers in Amorphous Materials and Range Validation    Stopping powers in amorphous materials have been validated against available experiments  Figure 3   27 shows a validation of boron and phosphorus ranges in amorphous silicon where compiled  experimental data are taken from  61            10000  E     1000  wn  oO  D     g  pej      oO          100  o   10   10 100 1000 10000  Energy  keV    Figure 3 27  Comparison of Monte Carlo simulated project ranges  lines  and measured ranges  dots  for Boron  and Phosphorus in Silicon  Experiments are from  61         Silvaco 3 89    ATHENA User s Manual       The solid lines were calculated with ATHENA   s Monte Carlo Module  The spread of the experimental  points in Figure 3 27 is typical and cannot be avoided  For example  systematic errors due to the depth  calibrations and memory effects in SIMS measurements if accounted improperly would yield less  accurate  usually longer  ranges  Therefore  the Monte Carlo module in ATHENA is calibrated 
550. rsal function f  y   See Equation 3 207     fany    AEVO  3 207    This approximation is used in ATHENA by default  Obviously  the function f y  must be symmetrical  and have a bell shape     Gaussian Lateral Distribution Function    The traditional selection for this function is a Gaussian  ATHENA uses the Gaussian approximation       unless the transversal kurtosis By  KURTT in the MOMENTS statement  is specified to be different from    its default value of 3 0  In this case  Equation 3 206 can be easily integrated into the following  equation        1 t     a 2 ti  a 2  C x  y     f  d  erfe           erfe 3 208  Pei IASA l J2AY J2AY  where AY is the transversal  lateral  standard deviation defined from   OO  AY      fot yyy  dedy 3 209     00       Silvaco 3 73    ATHENA User s Manual       Specification of Lateral Standard Deviation             You can specify Lateral Standard Deviation  LSTD DEV or LDRP  together with other moments in the  MOMENTS statement  see the   Specification of Implant Parameters in the Moments Statement    on page  3 76   You can also control it with the LAT RATIO1 parameter in the IMPLANT statement     LAT RATIO1 is the ratio between AY and AR p which is equal to 1 0 by default  This means that if             the lateral standard deviation and LAT RATIO1 are not specified it will be equal to projected range  straggling AR p    In the case of dual Pearson model for longitudinal profile  corresponding parameters     SLSTD DEV or SLDRP and LAT RAT
551. ructure information  e Interfacing with device simulators    e Using different VWF INTERACTIVE TOOLS    These operations are relevant to all individual ATHENA process simulators  This part of the tutorial  should help you if you   re new to each of the process simulators     The three sections of the tutorial  SSUPREM4  ELITE  and OPTOLITH are devoted to individual  simulators and should be read if you   re going to use those simulators     2 3 2  ATHENA Input Output    Before proceeding to the ATHENA operation  we will discuss how to provide ATHENA with input  information and the forms of output information available from ATHENA     Input Information    The bulk of input information for ATHENA is usually provided in the form of input files  An input file is  a text file that can be prepared by using DECKBUILD  which will be described throughout the rest of the  tutorial  or any ASCII text editor  such as vi on any UNIX system  or textedit on a SUN system   The  individual lines of the text file are called statements  Each statement consists of a statement name and  a set of parameters that specify a certain step of a process simulation or model coefficients used during  subsequent simulation steps  See Chapter 6     Statements     Section 6 1     Overview    for details on  statement syntax     The remainder of this tutorial will introduce you to the task of creating good input files     Since ATHENA uses a great deal of default information  much of the default information i
552. ry component of the refractive index     DELTA REAL specifies the difference between the real components of the refractive index for  completely exposed and unexposed resist  This value is used when dose effect on the refractive index is  simulated     DELTA IMAG specifies the difference between the imaginary components of the refractive index for  completely exposed and unexposed resist  This value is used when dose effect on the refractive index is  simulated     Examples    The OPTICAL statement is used to load refractive index values into ATHENA for each wavelength  The  following shows a typical statement     OPTICAL SILICON WAVELENGTH  365 REFRAC REAL 4 5 REFRAC IMAG 5 2    You can enter user defined materials in the following format              OPTICAL MATERIAL XXX WAVELENGTH  365 REFRAC REAL 1 4    REFRAC  IMAG   3                                           For more examples  see EXPOSE and IMAGE           6 72 Silvaco    OPTION       6 39  OPTION    OPTION specifies the level of run time output     Syntax    OPTION     QUI        Description    ET   NORMAL   VERBOSE          o    EBUG   WARNING           This statement specifies the level of information sent to the TTY Terminal Window of DECKBUILD     QUIET  NORMAL  VERBOSE  DEBUG  and WARNING determines the amount of information  that is output about errors  CPU times  and behavior of the algorithms  The default is QUIET  The    V             ERBOS    E and D          EBUG modes are intended mainly for debugging by 
553. s           SYSTEM rm  rf TEST  str  DIFFUSE TIME 30 EMP 1000 DRYO2 DUMP 2 DUMP  PREFIX TEST  TONYPLOT  st TEST  str                                              Advanced Diffusion Model Example    The following command performs the boron pre deposition at 950  C for 1 hour  The boron  concentration in the ambient gas is 107  cem       As a result  the output files predep1 str     predepl0 str  predep100 str  and predep1000 str will be saved    METHOD PLS        1 TSAVE MULT 10             DIFFUSE TIME 1 HOUR TEMP 950 C BORON 1E20 TSAV           E          DUMP   PREFIX predep                       W    IAL  METHOD  OXIDE  TRAP  and                               For more examples  see IMPURITY  INTERSTITAL  MATE  VACANCY        Silvaco 6 27    DISLOC LOOP ATHENA User s Manual       6 16  DISLOC LOOP    DISLOC  LOOP defines the scaling parameters and position of dislocation loops        Syntax    DISLOC  LOOP  MATERIAL I IMPURITY MIN LOOP CO   lt n gt  MAX  LOOP  CO  lt n gt                 Description    This command specifies the scaling of dislocation loops during a subsequent IMPLANT step  Dislocation  loops are used as interstitial sinks whose recombination rate can be determined with the  INTERSTITIAL DAMALPHA  lt n gt  command        Note  This command will only work if you switch on the dislocation loop model with the METHOD I LOOP SINK  command        MATERIAL specifies material for which dislocation loops parameters are set  see Section 6 2 9      Standard and User D
554. s     S OXIDE specifies screen oxide parameter for the SVDP implant model  Default is 0 001 microns   The screen oxide thickness is not determined from the structure and must be user specified  See  Chapter 3     SSUPREM4 Models     Section 3 5     Ion Implantation Models    for more details and the on   line examples on how to set this parameter automatically     MATCH DOSE  RP SCALE  synonym is RP EFF   and MAX SCALE specify the method for implant  calculations in multi material structures  see Chapter 3     SSUPREM4 Models     Section 3 5 2     Multi   Layer Implants      Default is MATCH   DOSE        SCALE MOM specifies that moment scaling to be used with selected multilayer implant model     ANY PEARSON specifies no restrictions on the combinations of allowed skewness and kurtosis  This  is true by default as required for the SVDP models  See Chapter 3     SSUPREM4 Models     Section 3 5      Ion Implantation Models    for details on potential problems with this setting  ATHENA versions  earlier than 4 0 had this parameter set to false by default     Parameters Applicable Only for Monte Carlo BCA Implant Models    N ION specifies the number of ion trajectories to be calculated for the Monte Carlo method  When the  SAMPLING is not specified  the default is N ION is 1 000 for 1D structures and 10 000 for 2D  structures              6 46 Silvaco    IMPLANT       MCSEED specifies a seed for the random number generator used for the Monte Carlo calculation     TEMPERATURE spe
555. s    Ksurp Kran and Kpow are calculated according to the following equations     Ksurr   KSURF  Oexp  SSUREE  o  Kone KRAT Oexp  ARATE     Kpow   KPOW dexp  APORE  m       where the pre exponential factor and exponent terms can be defined in the INTERSTITIAL and  VACANCY statements     Surface recombination plays an important role in the relaxation of perturbed point defect profiles back  to their equilibrium values  which cannot happen by bulk recombination alone     The surface generation rate  G   controls the injection of point defects into the silicon during oxidation     Two models have been implemented into ATHENA  the default model  GROWTH INJ and TIME  INJ   The moving interface can inject point defects into silicon and polysilicon        The GROWTH  INJ parameter in the VACANCY or INTERSTITIAL statement will activate or deactivate  the growth dependent injection model  By default  this model is always turned on and is described  mathematically by the following equation           3 12 Silvaco    SSUPREM4 Models       3 40       vi Gpow  G    0  vmoLE  v     L v   1 Max  where     e 0 is the fraction of silicon atoms consumed during growth that are injected into the bulk as self  interstitials     e VMOLE is the lattice density of the consumed material     e Gpow is a power parameter     The values 0 and Gpow are calculated from the following equations     0   THETA  Oexp  THETAE  kT  3 41  Grow   GPOW Dexp  FOWE  3 42                            and the THETA 0  THETA
556. s    for the list of materials   If no material is specified  the STRIP command removes both  photoresist and barrier materials     Examples    The following sequence of statements deposits photoresist patterned with the mask level named CONT   etches oxide through the mask  and removes the photoresist with the STRIP statement    ASK NAME  CONT    ETCH OXIDE DRY THICK  2   STRIP                   This example requires the use of MASKVIEWS        For more examples  see MASK and ETCH        6 106 Silvaco    STRUCTURE       6 63  STRUCTURE    STRUCTURE writes the mesh and solution information  aerial image information  or flips or mirrors the  structure  SAVEF ILE is a synonym for this statement                       Syntax    STRUCTURE   OUTFILE  lt c gt    INFILE  lt c gt    OPC  lt n gt      FLIP Y   MIRROR   LEFT   RIGHT   TOP   BOTTOM    INTENSITY   MASK   REMOVE GAS   SIGE CONV   TWO DIM                                   Description    This statement writes the entire mesh and solution set to a file  The saved data is from the current set  of solution and impurity values     OUTFILE specifies the name of the file to be written  Existing files with the same name are  overwritten by newly specified files  OUT   FILE is an alias for this parameter              INFILE specifies the name of the section file generated by MASKVIEWS to be imported  This file is  assumed to contain the unbiased layout structures and will be used as a reference to calculate the  percentage area of d
557. s   Errors calculated  above this value cause points to be added  The MIN  ERR parameter specifies the minimum error below  which points may be deleted from the mesh  unitless   Error calculated below this value causes points  to be removed  Both MAX ERR and MIN ERR are calculated using the Bank Weiser Error Estimator  which is defined as    2  A C     C      where h is the average of the edge lengths associated with node i  C  is the impurity concentration at  node i  The parameter  CONC MIN  specifies the minimum impurity concentration below which                            2 2    adapting will stop  units 1 0 em           The ADAPT MESH statement is used to do mesh adaptation for a given device structure without  coupling implant diffusion epitaxy to the process  Therefore  the mesh adaptation module can be used  to assist the manual mesh generation process  The following parameters are available on the  ADAPT MESH statement        e ADAPT specifies a stand alone adaptive meshing step should be performed to refine or relax the  current mesh based on the material impurity specification given on the ADAPT PAR statement   default false      e ADAPT COUNT specifies that stand alone annealing be performed during the execution of the  ADAPT  MESH statement  default false               e SMTH COUNT specifies the number of smooth loops during the smoothing algorithm        2 88 Silvaco    Tutorial       Adaptive Meshing Control    Adaptive meshing may be used in several different 
558. s  Also  when specifying  electrodes in ATHENA  it is useful to transfer electrode layer information from layout to electrical tests  in a device simulator  see the description of the auto electrode capability in the MASKVIEws Chapter  of the VWF INTERACTIVE TOOLS USER   S MANUAL  VOL  II     ATHENA can attribute an electrode to any metal  silicide  or polysilicon region  A special case is the  backside electrode  which can be placed at the bottom of the structure without having a metal region  there  If you deposit 0 1 um aluminum layer on the full structure after reflection  Figure 2 22  using     DEPOSIT ALUMIN THICK 0 1  and etched the following part of the layer between x  0 8 and x 0 8  using the Any Shape  specification in the Athena Etch Menu  See Figure 2 15         ETCH ALUMINUM START X  0 8 Y  20          Silvaco    Tutorial       ETCH CONT X  0 8 Y 20  ETCH CONT X 0 8 Y 20  ETCH DONE X 0 8 Y  20             you will now have the structure shown in Figure 2 23     TonyPlot   2 2 1    File vj  i View F C Plot 7    Tools 7    Print 7    Properties 7    Help 7   ATHENA    Structure with electrodes  GATE                                     9  in    Microns    9  h    g  in                                                                                        9  ih                   S  4       i       it                                                                                                                                                                         
559. s  cm    at one atmosphere  THETA is the number of oxygen atoms incorporated in a cubic  centimeter of oxide  In the case of dry oxidation  it is equal to THETA and in the case of wet oxidation   it is equal to 2 THETA  Usually  the Deal Grove coefficients should be changed instead of  HENRY   COEFF                             THETA specifies the concentration of Os atoms incorporated in the material  Units are cm                    ALPHA specifies the volume expansion ratio between MATERIAL1 and MATERIAL2  Only SILICON   POLYSILICON and OXIDE make sense here                                      MIN OXIDANT specifies the minimum oxidant concentration for oxidation to occur  Units are cm        This parameter is active only if METHOD OX  THRESH is used           Parameters Related to Grid Control    INITIAL specifies the thickness of the native  initial  oxide at the start of oxidation step  If any  oxidizable surface of the structure is bare  an oxide layer of this thickness is deposited before oxidation  begins  Units are microns  Default is 0 002     Note  The oxidation algorithm requires selective deposition of a native oxide onto all exposed silicon or polysilicon areas prior  to oxidation  Grid problems can result in complex structures  To resolve these problems  adjust INITIAL or use the  DEPOSIT statement to create the native oxide     SPLIT ANGLE governs the minimum angle at which the oxide will split open one more grid spacing  when oxidizing at a triple point  i e  
560. s  in the layers  Like in the dose matching method  the distribution in the first layer is calculated directly  from the moments corresponding to the first layer without any corrections  For subsequent layers  the  implant distribution is calculated by the formulae           C x    NAR X    Xp  3 197  and  i l  k 1    where N is the normalization factor   gt  is the total implantation dose  and xef is the effective depth    calculated as follows  In the case of projected range scaling  x  for the i    layer is     i l  t  k    k l       R 3 199     eff at OP  k 1 P    where R    is the projected range of the specified ion in the material of the k       layer  For the case of the    maximal range scaling  x  is calculated as   i l    t      PREES  eff P  Rp  3ARp    k 1   where AR  is the projected range straggling in the kt  layer  In this approximation  the estimated   maximum ion range R    3AR  is taken as the measure of the ion penetration into the corresponding  material   MOM SCALE    In all three models described above  the range parameters in each layer are considered independent of  the presence of other layers  But obviously  the distribution of ions stopped in the deeper layers may  depend on the thickness and stopping characteristics of the upper layers because each ion trajectory  passes through these upper layers  The Moment Correction Method set by the MOM  SCALE parameter  of the IMPLANT statement partially accounts for this effect  In the SCALE  MOM  method t
561. s 20       Silvaco    E 2    TSUPREM4 and TSUPREM3 Compatibility Features       ASSIGN name t n val 1 0 ratio 1 2    diffuse time St temperature 950 dry       ASSIGN name tt n val  tt  t   extract name  gateox  thickness material  Si0O 2  mat occno 1 x val 0 1  IF cond      gateox  gt  100 0    L MODIFY break    IF  END       L END       echo Stt    E 3  MESH Statement    The new MESH statement provides an alternative to standard mesh generation using the LINI  statements  It also specifies some parameters used in automatic grid generation when layou  information is provided by the Mask Data File generated by Taurus Layout and loaded in the MAS  statement        Gl    et       aN    DX MAX specifies the maximum grid spacing in the horizontal direction  It is used when the grid  in the x direction is specified using the Mask Data File     DX MIN specifies the minimum grid spacing in the horizontal direction  It is used when the grid  in the x direction is specified using the Mask Data File     DX RATIO specifies the maximum interval ratio between adjacent grid points in the  horizontal direction  You can also specify this parameter in the INITIALIZE statement  The  default is 1 5        DY ACTIV specifies the grid spacing in y direction at the bottom of the active region   DY BOT specifies the grid spacing in y direction at the bottom of the structure     DY RATIO specifies maximum interval ratio between adjacent grid points in vertical direction   This parameter could be also
562. s Simulator Calibration Examples   27 ATHENA  Examples Including Process  Topography  and or Lithography  28 SSUPREM3   1 D Process Simulation   29 OPTIMIZER   General Purpose Optimization   30 VWF_MOS_TESTS   VWF MOS Device Tests    a1 VWF_BIP_TESTS   YWF BIPOLAR Device Tests       Figure 2 2  DeckBuild Examples Window       Silvaco    ATHENA User s Manual       Online Help    You can find information on ATHENA statements and syntax using the online help facility  You can  access this facility in interactive mode or through DECKBUILD  Typing help at the ATHENA gt  prompt  either in Interactive Mode or in the Deckbuild Text Subwindow will display a list of valid ATHENA  statements  The syntax for the help command is shown below        HELP  lt command name gt     This command will give you additional information about parameter names  types  initial values  and  a description of the parameters for the specified command     To obtain more information on ATHENA default parameters  stored in a special file called athenamod    select Command   Models    in the Main Deckbuild Window  This opens athenamod in a text editing  window  making it possible to read the file or copy and paste statements from the file into a DECKBUILD  Text Subwindow     Select Command   Notes    to open a special information file that includes the current release notes  and a release history     For more information about ATHENA syntax  statements  parameters  and their default values  see  Chapter 6     S
563. s and B E Deal     Kinetics of the Thermal Oxidation of Silicon in O2 HCl Mixtures     J   Electrochem  Soc  v  124  p  735 1977     R R  Razouk  L N Lie  and B E Deal     Kinetics of High Pressure Oxidation of Silicon in Pyrogenic  Steam     J  Electrochem  Soc  v  128  p  2214  1981     C P  Ho  and J D Plummer     Si SiO2 Interface Oxidation Kinetics  A Physical Model for the Influence  of High Substrate Doping Levels     J  Electrochem  Soc  v  126  p  1516  1979     B  E  Deal and M  Sklar     Thermal Oxidation of Heavily Doped Silicon     J  ELectrochem  Soc   v  112   p  430  1965     L  N  Lie  R  R  Razouk  and B  E  Deal     High Pressure Oxidation of Silicon and Dry Oxygen     J   Electrochem  Soc   v  129  p  2828  1982     N  Guillemot     A New Analytical Model of the Bird   s Beak     IEEE Trans  on Electron Devices  v  ED   34  p  1033  1987     C L  L S Hung  J Gyulai  J W Mayer  S S Lau  and M A Nicolet   Kinetics of TiSi2 Formation by  Thing Ti Films on Si   J  Appl  Phys   v  54  p  5076  1983       BIB 2 Silvaco    Bibliography       42     43     44     45     46     47     48     49     50     51     52     53     54     55     56     57     58     59     60   61     62   63   64     65    C A Pico  and M G Lagally   Kinetics of Titanium silicide Formation on Single Crystal Si   Experiment and Modeling   J  Appl  Phys   v  64  p  4957  1988     S Sen Hou Ko  Sh  Murarka  A R  Sitaram   Ellipsometric measurements of the CoSi2 formation  from very thin co
564. s are important  parameters to include when setting up SSPUREM4 for an RTA scenario     Table 3 6 shows an approximate time for completion of about 95  of the TED at various temperatures                                      Table 3 6  The approximate duration of TED at various annealing temperatures  Annealing Temperature  C  Time for completion of 95  of TED  600 390 hours  700 3 3 hours  750 30 minutes  800 3 7 minutes  850 43 seconds  900 8 3 seconds  950 1 9 seconds  1000 0 48 seconds  1050 0 13 seconds                3 1 6  Electrical Deactivation and Clustering Models  When dopants are present at high concentrations  the electrically active  mobile  concentration  C      may be less than the corresponding chemical concentration  Cypjem      In order for an impurity to become electrically active in a semiconductor material  it must be  incorporated into a substitutional lattice site  which then will contribute with a carrier to either the  valence band  an acceptor impurity  or the conduction band  a donor impurity   Above certain dopant  concentrations  however  it is impossible to incorporate more dopants into substitutional lattice sites   The excess dopants are said to be non active     The threshold where the deactivation occurs is often called the solid solubility limit  since impurities  can exist in different phases in the crystal  But for this section  we ll call it deactivation threshold   Therefore  it isn   t well defined which phase transition the solid solu
565. s can now be accessed via the DECKBUILD working environment  To run these exam   ples  run DeckBuild  pull down the Main Control Examples menu  and select SSUPREM4 from the  Section menu  Then select an example name from the scrolling list and select the Load button at the  bottom of the screen  This will copy the example and any associated files to your current working  directory and load the example into DECKBUILD  You can then run the example  The example facility  includes a short description of the example that describes how to run it and some description of the  results that is similar to the manual description  Examples describing interfaces between different  simulators are also accessible     SSUPREM3 Interface   The SSUPREM4 PROFILE statement can read a one dimensional  1D   structure file generated by SSUPREM4  The PROFILE statement reads a MASTER file that con   tains layer and impurity information from SSUPREMS  The interface between this simulators is best  accomplished by using DECKBUILD  Within DECKBUILD  you simply build the SSUPREM3 portion of  the input deck  Next  specify the command  GO SSUPREMA  Specify the mesh within silicon as you  normally would in SSUPREM4  DECKBUILD will automatically insert the appropriate profile state   ment following SSUPREM4 initialization     User accessible polysilicon oxidation rates   In previous releases of SSUPREM4  polysilicon and  silicon were assumed to oxidize with similar rates  The parameters for polysilicon oxidat
566. s computation is correspondingly more lengthy           Parameters related to Grid Control during Oxidation    Many grid related problems during oxidation are related to the initial oxide deposition  See Section  6 40     OXIDE    for more about initial oxides     GRID OXIDE specifies the desired thickness  in microns  of grid layers to be added to the growing  oxide  It has an effect on time steps  refer to OXIDE GDT   The default is 0 1 microns        GRIDINIT OX specifies the initial oxide grid spacing  in microns   The default is 0 1 microns   GRID SILICI specifies the maximum silicide grid spacing  in microns   The default is 0 1 microns   GRIDINIT SI specifies the initial silicide grid spacing  in microns   The default is 0 1 microns     GLOOP EMIN  GLOOP EMAX  and GLOOP IMAX controls loop detection during grid  manipulation  The default value is GLOOP IMAX 170e  Loop detection checks for intrusions and  extrusions in the boundary  The intrusion fixing algorithm is triggered by angles greater than  GLOOP   IMAX  A larger value means that more extreme intrusions can develop and increases the  possibility of a tangled grid  A smaller value leads to earlier intrusion fixing  too small a value will  lead to inaccuracy due to premature intervention  Similar concerns apply to the other parameters  The  values are a compromise between safety and accuracy  The extrusion fixing algorithm is always  triggered by angles greater than GLOOP   EMAX  It may be triggered by lesser extr
567. s for defining input parameter variations        6 38 Silvaco    GO       6 23  GO    GO starts the simulator  Each ATHENA input file should begin with a GO statement     Note  The GO command is executed by DECKBUILD and documented in the VWF Interactive Tools User   s Manual  Vol        Examples   Two useful features of the GO command are shown here    This command initializes ATHENA with a specified version number  go athena simflags   V 4 3 0 R       This command initializes ATHENA with a model file  lt install gt  lib athena  lt version gt  common   athenamod 97a    go athena simflags      modfile 97a       Note  If DECKBUILD encounters a GO statement and there is no change in the version or model file  ATHENA will continue  running        Silvaco 6 39    HELP    ATHENA User s Manual       6 24  HELP    HELP prints summary of state          HELP   lt command gt    or  2    lt command gt      Description    ment names and parameters syntax     HELP lists the parameters of the specified statement and provides a short description of each  If there       is no statement name given  H     Examples    ELP will show an introductory help message and will list all statements     The following will print a list of valid ATHENA commands to the standard output     HELP          The following will print a description of the DIFFUSE command and its parameters              HELP DIFFUSE             6 40    Silvaco    ILLUM FILTER       6 25  ILLUM FILTER    ILLUM FILTER specifies the ill
568. s of adding chlorine to the oxidizing ambient is shown in Figure 3 14  where the silicon  dioxide thickness increases as more chlorine is added to the ambient       TonyPlot V2 6 9       File 7  View    Plot  Tools    Print     Properties  gt   Help     file    view     Plot  Tools     Print     Properties i Help            2        E  E  a  g  E   i     1  Oxidation Time   Minutes      Click to place   P changes alignment  or drag to get leader    SILVACO International 1996       Figure 3 14  Silicon Dioxide Thickness Versus Oxidation Time with HCI Percentage and Temperature as  Parameters       Silvaco    SSUPREM4 Models       Doping Dependence    It is well known that SiO  formation on highly doped n type and p type substrates can be enhanced  compared to SiO   formation on lightly doped substrates  37   The dependence of silicon dioxide growth  kinetics on doping concentration is manifested as part of the linear rate constant  where the physical  significance of the high doping levels has been explained primarily as an electrical effect  37    38    This factor in the linear rate constant is given by    O  ang 7  4 PAFKO  exp  BAEKB  15  1 3 159  A doping k T y      where V  is the equilibrium vacancy concentration in silicon at the Si SiO  interface  V  is the    equilibrium vacancy concentration in intrinsic silicon  BAF  KO and BAF  KE are specified on the OXIDE  statement           The equilibrium vacancy concentration  composed of vacancy defects in different charged
569. s stored in  several non user specified files  These files are as follows     e The athenamod file includes default parameters of physical models  diffusion and oxidation  coefficients  default parameters of numerical methods  characteristics of predefined deposition  and etching machines  and optical parameters of materials for lithography simulation     e The std_table and several sudp     files in the implant_tables directory contain ion implantation  look up tables     e Several files with suffix  mod in the pls and models directories contain parameters for advanced  diffusion models  Chapter 3     SSUPREM4 Models     Section 3 2     Advanced Diffusion Models         e The athenares file includes resistivity vs  doping concentration data   It   s important to be aware that information from the athenamod file is loaded into ATHENA each time    it starts  You can override any of the athenamod default parameters by specifying an alternative  parameter in an input file or by specifying the entire models file using the  modfile option        Silvaco 2 7    ATHENA User s Manual       Output Information    All run time output generated by ATHENA will appear in the Deckbuild Text Subwindow when  running DECKBUILD  or in the current window  or specified output file  when running ATHENA  standalone  Run time output can be grouped into two categories  Standard Output and Standard Error  Output        Standard Output consists of the output from the PRINT   1D statements or the EXTRAC
570. s the device  measurement temperature  The base and collector currents are strongly influenced by temperature  changes  as small as a few degrees centigrade  A significant effort should be made to determine the  exact temperature of the device during measurements before calibration is attempted  This  temperature should be input into ATLAS in the MODELS statement using the TEMPERATURE  lt n gt   parameter  An increase in temperature will cause an increase in base and collector currents     2 6 2  Tuning the Base Current   All Regions    A critical region for poly emitter bipolar devices is the interface between the poly emitter and the  mono crystalline silicon  This region is difficult to process simulate directly as the interface between  the polysilicon emitter and single crystalline silicon usually consists of a thin  uneven and possibly  non continuous film of oxide  This is simulated by calibrating the overall effect of this interface with  ATLAS  The tuning parameter is the surface recombination velocity at this interface for electrons   VSURFN for PNP devices  or holes  VSURFP for NPN devices   This will only be effective for thin  emitters where at least a fraction of the holes  for NPN devices  can reach the emitter before  recombination     The surface recombination velocity parameter not only affects the base current  it also affects the base  current in all of the operating regions  Therefore  it is a powerful parameter to approximately match  the base current an
571. s the usual SiGe application     2 7 3  DEPOSIT Statement    This statement is used to specify the material  silicon   thickness  gridding  and doping parameters   Once you specify these parameters  specify the germanium dopant concentration either as a constant  or as a graded doping profile as shown in the example              To calculate the doping required  the total number of atoms in silicon is taken to be 5e22 cm3 for  simplicity  Therefore  a doping of 1e22 cm3 of germanium is a 20  concentration  Other concentrations  are calculated in proportion to this  so a final concentration at the end of the deposition of 1e21  represents a 2  concentration of germanium  Therefore  the example deposits a 200A thick SiGe film  with an initial germanium concentration of 20  and a final germanium concentration of 2         2 54 Silvaco    Tutorial       2 7 4  DIFFUSE Statement    Since this example above used the DEPOSIT statement  the thermal budget for deposition is simulated  by an inert diffusion for the deposition time  A typical deposition temperature is around 650  C     Generally  a typical SiGe HBT device would have a base profile consisting of boron and non boron  doped regions together with a tapered germanium profile at both ends of the base  In this case  simply  specify the DEPOSIT and DIFFUSE statements in several stages  You can also use several EPITAXY  statements to do the same thing              At the end of the process simulation  the germanium dopant profil
572. s tntiec hie cites ehh acer ee 3 76  Stress Models   Stress Histo eoi be Met at ia 6 69  3 97  D 8  String  AlQOFIIM   32  sss2 cade ssi besede steadied saddeatacs doses dcaesches tenet 4 2 3  Structure Exposure   Development  eresi ies  exceiessentaeles  2 83 84   Post Development Bake               cccccceeeeseeteeeeeeeeeeeeeeetees 2 84   Post Exposure Bake               cccccssseceeeeeeeeeeeeeeeeeeeeeeeeeeees 2 83  Structure Manipulation Tools   ATHENA In 1D Mode              cceccceeeeeeceeeeeeesseeessseeesseeeess 2 58   OI LLEI O AAEE DE P S E EAT deci ws Bit tdecey maa deds 2 56   Structure FLIP arec neo eee ene eek 2 56  Surface Recombination   CNET  perene a eE E aE Si nS 3 30 31  SVDP implant models                ccscceeseesseeeseeeeseeseeeeeneeees 3 69 70    See Analytic Implant Models    T  Technical S  pport sversi eniris 1 1  TOnyPlotis ete sich a a ati aoe 6 108  ap  Equation    hicar Mean Asian ihed 3 10  Two Dimensional Model  Defect Diffusion    i2    c  chatting dilate ees 3 16  Dislocation Loop Based Bulk Recombination                e10  3 15  Dopaiits sti tei a A eee 3 7  Interstitial Generation          eeeeeeceeeseeeeeeeeeeeeeteeeeeeeeeates 3 12  InterstitialS    12 4 nteivads eet toi ee ae 3 9 11  Recombination at Interfaces    3 12 14  VACANCIOS steven a aa atest 3 14 15  Two Dimensional Implant Profiles  Convolution Method           ccceseeeeeeeeeeeeeeeneeeeeeenretaee 3 72 73  Depth Independent Lateral Distribution              eeeeeeeeees 3 73  Gau
573. se only for non zero tilt angles  Zero  rotation means that the ion beam vector lies in the plane parallel to the 2D simulation plane  90    rotation means that the ion beam vector lies in the plane perpendicular to the simulation plane     Selecting Continual rotation causes SSUPREM4 to rotate the wafer  i e   implantation will be  performed at 24 different rotation angles from 0 to 345    in increments of 15       There are several damage models available in SSUPREM4  These models allow you to estimate  distributions of various defects generated after ion implantation  For more details about the damage  models and their effect on subsequent diffusion  see Chapter 3     SSUPREM4 Models     Section 3 5 5      Ion Implantation Damage        When the Monte Carlo model is selected  you can specify several additional optional parameters  See  Figure 2 29   The first three parameters are related to the Damage model  Point defects   311  clusters   and dislocation loops   The three others control Monte Carlo calculation  initial random number   number of trajectories  and smoothing   See Table 2 2 for a quick reference of ATHENA implant models     Table 2 2  ATHENA Implant Model Reference                                  Process Model Assumption Recommendation  Implant   SIMS Verified Dual Empirical See Chapter 3     SSUPREM4 Models      Pearson  SVDP    Default Table 3 7   Single Pearson Analytic All other cases  Monte Carlo Statistical Multi layer structures  angled implants  Mon
574. se tables correspond to amorphous approximation and ignore effects of material  crystalline structure     When accuracy of simulated as implant profiles is important and ion implant channeling is  pronounced  use the Monte Carlo BCA implant model  The Monte Carlo model takes into account both  crystalline structure and composition of compound materials  The actual composition and density of  the default ternary compounds  InGaAs and AlGaAs  as well as the user defined materials must be  specified in the MATERIAL statement           3 94 Silvaco    SSUPREM4 Models       3 9  SiGe SiGeC Simulation    Several experiments  e g    80    81   and  82   revealed that boron diffusion in Si   Ge  or  Sij  Ge C  alloys may differ from diffusion in pure Si substrates  To simulate effects of Ge    concentration fraction  x  and carbon concentration fraction  y  on boron diffusion several models were  introduced in SSUPREM4  To activate these models  set the MODEL SIGEC parameter in the METHOD  statement                    3 9 1  Deposition of SiGe SiGeC Epitaxial Layer    In this model  Si   Ge  or Sij  Ge C  alloys are considered as heavily doped with Ge or Ge and C   Usually  layers with either constant or graded germanium content are formed by a special epitaxy  process     You can simulate the formation of the Si   Ge C  layer with a constant Ge and C content using the  DEPOSIT statement with a C GERMANIUM parameter set equal to y Ng   where Ng  is the atomic  022          density of
575. serted into the  ATHENA input file  The first line will be located exactly at the edge and the spacing will be 0 05um   The second line will be inside the POLY layer  0 3um from the edge  and spacing at this line is 0 15um   The third line will be outside the POLY layer  0 2um from the edge  and its spacing will be set to 0 1um   You can choose the current edit layer by selecting the Name button  located underneath the Layers  Menu  for the layer in the key list of MaskViEws  Figure 2 48   If you select AAD  then only set one line  for an edge of the AAD layer because offset distances are equal to 0 0  We recommend that only one line  be set for unimportant layers  It   s also important to validate only those layers that are going to be used  in ATHENA MASK statements        Silvaco 2 67    ATHENA User s Manual       When grid parameters are set for all valid layers  a cutline can be chosen  Click on the Write File  button and the Select First End Of Athena Cross Section Line Popup will appear at the bottom of the  MaskViews Window  Press the select  left  mouse button at the desired point in the layout  e g   within  the VIA2 region in the upper left corner of the layout   You will be prompted to select another end of  the cross section line  Then  drag the pointer and press the select mouse button on the other end of the  selected cutline  Figure 2 51 shows the Athena Cutline Popup will then appear  This shows the exact  location of the cutline  You can now preview the mask
576. set the correct doping profiles for an analytical implant  The syntax for this is shown in  Figure C 11 with a comparison of the two different implants in Figure C 12        Photoresist is a special case in ATHENA  Although analytical implant tables exist for photoresist  they  are specific only to one type of photoresist  AZ 111   Photoresist materials do vary considerably in  density and material abundances  Syntax exists in ATHENA to set the required parameters for MC  implantation modeling           MATERIAL MATERIAL my_resist DENSITY 3 ABUND 1 0 6 AT NUM 1 8    AT MASS 1 16 ABUND 2 0 4 AT NUM 2 6 AT MASS 2 12       ABUND sets the relative abundance of elements in the photoresist  AT   NUM and AT MASS set the atomic  number and weight of the elements respectively  DENSITY sets the overall material density  From  these parameters  MC implant can calculate the implanted profile  The syntax from Figure C 11  allows the user to fit  extract and re use the analytical moments calculated from the MC implant  profile A similar technique can be used for implants of non standard species too  It is possible for users  to build up their own user defined implant moment tables           Deckbuild V3 8 4 Release    main striker andys dev examples test aniiex07 in  e          File    View    Edit  gt   Find    Main Control 7  Commands     Tools v              H    SYNTAX FOR GENERAL PURPOSE MOMENTS EXTRACTION FROM MC IMPLANT              raj A R      use SET variable to make this approac
577. sh is referred to as a base mesh and options for its formation will  be discussed the    The Mechanics of the Base Mesh Formation    Section on page 2 89  After some initial  1D processing  the adaptive meshing function is invoked  Subsequently  automatically adds mesh that  conforms well to the two implanted Boron profiles  During the final DIFFUSE statement  Boron has  been driven down into the substrate and tessellated with the initial simple mesh  The mesh  adaptation module adapts after each time step  This results in meshing conforming to the Boron  profile throughout the diffusion process              The mesh adaption module is invoked during the simulation by specifying boolean flag ADAPT on the  METHOD command preceding IMPLANT  DIFFUSE  or EPITAXY statements  The syntax behind this  simple example using the mesh adaption module is shown below                       Silvaco 2 87    ATHENA User s Manual       Three statements are available to access the mesh adaptation module  they are briefly described as the  following  The METHOD statement is used to control numerical algorithms  When METHOD ADAPT is  specified  the mesh adaptation algorithm will be used  If you specify METHOD ADAPT false  the mesh  adaptation algorithm will be turned off  ADAPT is off by default              ADAPT specifies that the adaptive meshing should be performed on any of the following IMPLANT   DIFFUSE or EPITAXY statements  Adaptation is performed by following each step on each DIFFUSE   
578. shadowed 4 4  R x  y   Csina  i  Csina j or R x  y   Csinw  i  Csina j 4 5    if point  x y  is partially shadowed     R x y    C cos      cos    i  C  sina    sin    j 4 6    if point  x y  is unshadowed  where w  and wg are the incident angles      and wg are specified on the  RATE   DEPO command by ANGLE1 and ANGLE2  respectively                          Silvaco 4 5    ATHENA User s Manual       Source Source  Li                       lt  lt     Figure 4 3  Step Profile with Dual Source    4 3 5  Hemispheric Deposition          To use this model  specify the HEMISPHERIC parameter in the RATE DEPO statement                      The flux of vapor is continuously distributed in a range of directions  see Figure 4 4   The growth rate  can be calculated as     R x  y    C cos       cosa   i  C sin       sin   j 4 7    where   and    are the lower and upper bounds  respectively  of the incident angles of the vapor  streams set by parameters ANGLE1 and ANGLE2  respectively           To avoid step coverage problems  planar sputtering is often used to achieve better film profiles  The  ideal sputtering source is modeled by means of a hemispheric vapor source with atoms impinging on  the substrate from all angles        4 6    Silvaco    ELITE Models       emispherical Source                         Figure 4 4  Step Profile with a Hemispherical Vapor Source    4 3 6  Planetary Deposition       To use this model  specify the PLANETARY parameter in the RATE DEPO statement             
579. simulation  Second  it is always necessary to set a fine grid in the area where ion  implantation takes place  but the fine grid may be uneccessary after the profile is leveled off during  thermal steps  So  relaxation of an initially fine grid may save simulation time during subsequent  steps  Parameters for the RELAX statement are set from the ATHENA Relax Menu  Figure 2 18                     KS Deckbuild  ATHENA Relax    Area    Selected   Entire Grid    igcation Galert     oraties            Material   7  Any All    Comment  Relax everywhere   WRITE       Figure 2 18  ATHENA Relax Menu       2 22 Silvaco    Tutorial       To open this menu  select Structure   Relax    in the DECKBUILD Commands menu  You can preform  grid relaxation over the whole structure if you select Entire Grid  or within a selected rectangular  area  if you choose Selected and specify Xmin  Xmax  Ymin  and Ymax in Location Select   Selecting a material from the Material menu specifies which material region will be affected by the  grid relax operation  The default is all materials within the specified area  You can perform the grid  line elimination either in one direction or in both directions by selecting X direction  Y direction  or  Both  The X direction  Relax  cannot be performed for individual materials except for the substrate     To understand how the relax function changes a grid  we will use the structure we have obtained after  spacer formation was specified  Figure 2 19   If we relax th
580. sity       and TRANSMIT  By    positioning each source element in the source region  you can simulate any type of source  To simulate  a SHRINC source  enter the following command lines     HHHH    If overlapping                       LUM FILTER CIRCI   LUM FILTER CIRCI   LUM FILTER CIRCI   LUM FILTER CIRCI             Li    Li    Li       Ae a    Lil                      SIGMA 0 1 RADIUS 0 25 ANGLE 45  SIGMA 0 1 RADIUS 0 25 ANGLE 1  SIGMA 0 1 RADIUS 0 25 ANGLE 225  SIGMA 0 1 RADIUS 0 25 ANGLE 3       35    15    sources are defined  a warning is issued and the most recent source is used  If the  overlap is partial  only the overlap area is overwritten by the most recent source     Annular filters can also be superimposed on the source  There are two types of annular filters  Square  and Circle  Annular filters have a multiplicative effect on the source  Because of this  be careful when  defining a complex source and a complex filter  The following example of an annular source of inner  radius 0 4 and outer radius 0 6 is given below     IL  IL    UUM  FI             UUM  FI             ITER CIRCLI    E  a    Li          iTER CIRCLI       SIGMA 0 6    INNER RAD 0 0 OUTER RAD 0 4 TRANSMIT 0 0             In the first statement  the SIGMA parameter defines the outer radius  In the second statement  an  opaque spot is defined as an annular filter  see Figure 2 63      The source must be described before the filter or the command will be ignored and a warning will be    given  The
581. specifies  concentration at the bottom of the layer and F  INTERST specifies concentration at the top of the  layer                             C VACANCY specifies the concentration of vacancies in the epitaxially grown layer in cm           6 30 Silvaco    EPITAXY       F VACANCY can be specified only together with C   VACANCY  This parameter generates the linearly  graded vacancy concentration in the deposited layer  where C   VACANCY specifies concentration at the  bottom of the layer and F   VACANCY specifies concentration at the top of the layer     Gridding Parameters    DIVISIONS controls the number of vertical grid points in the resulting epitaxial layer  This is an  optional parameter since it will be generated automatically by default and is related to the surface  grid spacing of the original simulation structure before epitaxial process  SPACES is an alias for this  parameter  The default is 10        DY specifies the nominal spacing in microns in the epitaxial layer     YDY specifies the depth where the nominal spacing will be applied  YDY is calculated relative to the  top of the newly grown epitaxial layer     MIN DY specifies the minimum spacing allowed between grid lines in the y direction in the new  material  The default is 0 001 microns  10 Angstroms      SI_TO_POLY specifies that the crystalline silicon layer will be grown only over Silicon  while  Polysilicon will be grown elsewhere     Deposition Rate Example    The following statement will simulate the
582. ss Parameters Checking      2 47  Tuning Diffusion Parameters            eeceeesseeeeseeeeneeteneeees 2 46  Tuning Implantation Parameters              sseeeeseeeeeseeeeeneeees 2 45  Tuning Oxidation Parameters             ccsceeeeeeeseeseeeeees 2 43 44  Using ATLAS for MOS Process Tuning             seeeeeee 2 46 47  Multi Layer Implants  DOSE MATCH  gi  c3  ir  at aaa tie i edt tis 3 70  MAX SCALE FEE EAEE EEATT 3 71  MOM SGALE cisien apseiti e teehee 3 71 72  leel E EEA AE AEE E 3 71  N  Nuclear Scattering  insni aiiai saias 3 77   0   Operation Modes rssi caniiisi erener ahii aeaa 2 5 6  Optical  System ET PETEA ET  5 7 8  Ostwald ripening aisi esen aed raa iaaea neiaie 3 11  Oxidation Models              escceesesereseteeeseeeeeees 3 44 63  6 67 68  Analytical  ivi  api ik dedicated chee 3 58 59  EOE E A AE AT 3 47 48  Linear Rate Constant             cesceesceseeeeeereseeeeeneeeeeeeees 3 50 51  Mixed  Amblents  2  irr E ete 3 58  N  mencal cesses aes dash covdea erent oree e Ase eh 3 46  Parabolic Rate Constant            cecceeeceseeeeeeeeeteneeeseeeeaees 3 57  VISCOUS eoira a tects 3 48 50  Oxidation Simulation Recommendations  Growing Thin OXideS       0   ecceeeeeseeeeeeeeeseeeeeeeeeeeeeeens 3 59 60  Implantation Through Thermally Grown Oxides  and Dopant Loss During Subsequent Annealing              3 60 61  Oxidation Enhanced Diffusion  OED                3 5  3 61 63  Oxidation Retarded Diffusion  ORD               seeeeeeeees 3 61 63  P  Pair  Diffusion ean eins
583. ssian Lateral Distribution Function             ccceeseeeeeeeee 3 73  Implant Parameters in the Moments Statement               0 0 3 76  Lateral Standard Deviation            cecceeseeeceseeeeeeeeeteeeeees 3 74  Non Gaussian Lateral Distribution Functions               00 3 75  Parabolic Approximation of Depth Dependent Lateral  DistribUtion a senetieaediis sei edited even 3 74 75  Using PRINT MOM for Extraction of Spatial Moments             3 76  U  USGTIMP   tense niesieai eit Gin a il 3 76  Using ATHENA With Other Silvaco Software          seeeeeeeeeee 1 3 4  V  vacancy flUX EXpress  on         eeeeeeeeseeeeeeeeeeeeneeetneeeteaeeteaaes 3 14       Silvaco    Index 4    
584. strate Definition Example    The following gives the name we11 to a flat electrode along the bottom edge of the current structure  There is no metal required at this location                                   ELECTRODE BACKSIDE NAME WELL       For more examples  see STRUCTURE        Silvaco 6 29    EPITAXY ATHENA User s Manual       6 18  EPITAXY    EPITAXY specifies an epitaxial deposition process step              Syntax       EPITAXY                      TIME  lt n gt   HOURS  MINUTES SECONDS   T          EMPERATURE  lt n gt   T FINAL  lt n gt    T RATE  lt n gt            THICKNESS  lt n gt    GROWTH RATE  lt n gt                  C IMPURITIES  lt n gt    F IMPURITIES  lt n    C INTERST  lt n gt    F INTERST  lt n gt    C VACANCY  lt n gt    F VACANCY  lt n gt                         DIVISTONS  lt n gt    DY  lt n gt    MIN DY  lt n gt    YDY  lt n gt    SI_TO_POLY   Description    This statement simulates the epitaxial deposition of silicon  This model is limited to silicon on silicon  applications and should not be used when other materials are present  The model is inherently 1D and  isn   t suitable for selective epitaxial deposition processes     Parameters to Define the Epitaxial Step  TIME specifies the amount of time for the epitaxial step in specified units     HOURS  MINUTES  and SECONDS specify the units of the TIME parameter  Default is MINUTES                       TEMPERATURE specifies the ambient temperature  in   C  This temperature should fall within the 
585. such as  conformal deposits  oxidation  and diffusion run faster in 1D mode                 The deposition and etch sequences displayed in Figure 2 41 show a sequence of 1D depositions with an  automatic conversion to 2D at the first etch     Initial  silicon    Epitaxy or  other  blanket  processing    Gate  formation  or other  2D step    Process  completion       Fast 1D  calculation          Fast 1D             calculation                    Automatically  transformed to  2D calculation        A       J 2D calculation                Figure 2 41  Automatic 1D to 2D Conversion       Figure 2 41 shows another aspect of 1D mode  In this case  the INITIALIZE command is specified with  the parameters ONE D and X LOC  lt n gt   see Chapter 6     Statements     Section 6 30     INITIALIZE       ONE   D specifies that a one dimensional calculation is to be done at the location X  LOC        In the case of Figure 2 40  1D profiles are generated at different X locations of a complicated BICMOS  structure  This allows you to quickly check of the overall process using the 1D mode        2 58    Silvaco    Tutorial                                                               y ram N A que yL Build a complete     Wo Cn K A Gull 2D process  Nt   Nt pt pt description  P     N  i e  BiCMOS    pt   Nt  P   Substrate  y KI        Quickly calculate  2 S  An S 1D profiles at key  g EV 3 c  locations using  S  N Af E   e  the 1D mode   8   g l     S  depth depth depth    diffuse time 30 temp 9
586. sures are erased from  memory  You can weigh the exposures by using the DOSE parameter on the EXPOSE command                                               The final four parameters  X CROSS  Z CROSS  CROSS VALUE  and X ORIGIN all refer to the aerial  image cross section  The boolean parameters  X CROSS or Z CROSS  define the cross section to be  parallel to the x axis or the z axis respectively  CROSS  VALUE specifies the z location of X CROSS  or  the x location of Z   CROSS  These parameters are especially useful when several cross sections from the  one large  two dimensional aerial image will be simulated  x  CROSS is the default  If CROSS  VALUE is  unspecified  the center of the image window  defined in the imaging module  will be used as  CROSS VALUE  X ORIGIN allows the aerial image cross section to be shifted laterally in the two  dimensional exposure simulation                 Post Exposure Bake          The BAKE command can be invoked by using only one parameter DIFF  LENGTH  the diffusion length    For a post exposure bake of 60 seconds at a temperature of 125  C  the recommended diffusion length is  between 0 05 and 0 1 micrometers  The BAKE command can also be used by specifying TIME and  TEMPERATURE in C                            Development    The development module offers a choice of six different development models  As mentioned before   model parameters are specified in the RATE DEVELOP command  After the development model is  selected  the three primary p
587. surface grid removal has been included in the functionality of the RELAX  statement  This algorithm allows elimination of surface segments that are smaller than a value  specified by parameter  DX SURF in microns  This is useful for removing excess grid created during  high resolution machine etches     e A new set of examples is included that illustrate calibration of coefficients for several typical cali   bration problems     D 14 2  SSUPREM4 Capabilities    e Gallium  Aluminum  and Gold impurities have been added  The statement language for DEPOSIT   DIFFUSION  INITIALIZE  and a number of other statements has been modified to include these  impurities  The IMPURITY statement described above has been added to allow coefficient setting  for these impurities     e A two stream polysilicon diffusion model has been added  This model takes into account the diffu   sion of impurities via grain and grain boundary components  The relative magnitude of the two  components is controlled by the GB VOL RATIO parameter on the MATERIAL statement  The  grain size of the columnar grains can be set by the parameter GRAIN SIZE on the MATERIAL  statement  Grain boundary directionality is included in the DEPOSIT calculation  Grain size evolu   tion is calculated during diffusion and is controlled by the GRAIN SIZE and GB ENERGY parame   ters on the MATERIAL statement  Impurity segregation into and out of grains is calculated during  diffusion  The impurities in the grains are treated similar 
588. t  such as  phosphorus or arsenic     An Arsenic implantation has been performed with a energy of 2 keV at a dose of 10  at cm  and  followed by a spike RTA at 950  C with a ramp up estimated at 100  C s  In this typical process example    for ultra shallow junction  dopant is implanted at such a high dose that its concentration reaches the       3 42 Silvaco    SSUPREM4 Models       solid solubility limit  In this case  most of the dopant at concentration higher that this limits will  precipitate in the early stage of the annealing     Moreover  all other specific characteristics in arsenic diffusion is taken into account  In other words     e Arsenic migrate both through interstitial and vacancy mechanisms with roughly the same  proportion     e Arsenic atoms form with vacancy any clusters  AsV or As4V     The initial interstitial profile generated by the arsenic implant is modeled though a simple  plus n   model       TonyPlot   2 8 10 R aj    File  view v  Plot  Tools  gt   Print    Properties  gt   Help         Arsenic Diffusion after implantation  Low energy implant   spike anneal        As implanted  As 2keV 2e15cm 3                  As implanted  simulation   Spike anneal  950C   SOC s   Spike anneal  simulation        t      8      2       0  Depth  um        SILVACO International 2004      Figure 3 9  Simulation of Arsenic diffusion after an implantation at 2 keV with a dose of 1   10 4 cm  and a spike  anneal at 950  C with a temperature ramp rate estimated at 100 
589. t deck segment  which will  be processed several times  The number of passes is specified by the STEPS parameter of the LOOP  statement        The ASSIGN statement allows you to assign a numerical or character value to a name  It is similar  to the DEFINE statement  The main difference is the capability to vary the assigned value within  the LOOP cycle using RATIO and DELTA parameters  Also  only     and     characters can be used  for substitution     The                   L MODIFY statement allows you to alter the processing of the current LOOP cycle  For       example  if you use BREAK parameter  the current LOOP cycle gets interrupted and control comes to  the first line after the L  END statement     The          following example shows LOOP L END ASSIGN L MODIFY capability  It demonstrates a    simple way to estimate a diffusion time needed to grow the gate oxide with the thickness of 100 A     First  the initial value 0 0 is assigned to the name  tt   Within the loop  variable value is  assigned to the name  t   Its inital value is 1 0 and increases by a factor of 1 2 in each subsequent  loop iteration  The current value of  t  is used as diffusion time     The next ASSIGN statement calculates the total diffusion time     The       EXTRACT statement finds current oxide thickness  gateox   If the required condition is that    extracted  gateox  exceeds 100 A  the LOOP cycle is interrupted and the total diffusion time is  printed     ASSIGN name tt n val 0 0    LOOP step
590. t include deposited species reflection sticking coefficient  surface diffu   sion  and density variations     A tuning parameter  DX MULT  lt n gt  has been added to the ETCH statement to allow enhanced dis   cretization during individual ELITE etch steps  Increasing the value of DX MULT from its default of  1 0 will result in larger surface segments and a reduced discretization  Decreasing DX MULT will  result in better discretization in both space and time during the etch calculation  Reducing the  value of this parameter allows realistic modeling of wet etches that previously were poorly resolved     A new machine type specified by the parameter CUSTOM is now available for ELITE deposits  This  machine type reads deposit rate vs  angle information from a user specified ASCII file  This can be  used as an interface to deposit rates produced by non Silvaco simulators  If a full range of deposit  angles is not specified the simulator will interpolate rates between the closest angle and a rate of  zero at an angle of     180 degrees  The rates at     180 degrees are assumed to be the same     The limits on number of regions and number of surface segments for machine etch calculations have  been increased  The new limits should be adequate for most applications of ELITE     D 14 4  OPTOLITH Capabilities    A new defocus model that directly couples the imaging module to the exposure module has been  introduced  The DEFOCUS parameter on the EXPOSE statement is now obsolete and th
591. t model the effect that  these defects have on subsequent impurity diffusion     ATHENA considers implant damage as point defect generation  Point defects are silicon interstitials  and lattice vacancies that are created as energetic implanted ions collide with silicon lattice atoms     The most practical model for coupling implant damage to subsequent diffusion calculations is the  1  model  In its simplest form  the  1 model adds exactly one interstitial for each implanted ion  This is a  reasonable approximation if one assumes that the vacancies and interstitials created by the implant  recombine quickly relative to the time scale needed to produce significant diffusion  This leaves one  extra interstitial for each ion  assuming the implanted ion has replaced it on the lattice         C 4 Silvaco    Hints and Tips       This model is applicable to both Monte Carlo and the default analytic implants  and can be invoked by  including the UNIT DAM parameter on the IMPLANT statement  A commonly applied variation to this  model is to scale the number of generated interstitials     In ATHENA  this can be done using the parameter DAM FACT on the IMPLANT statement  A  corresponding profile of lattice vacancies is introduced in this model with the maximum of zero and  1   DAM  FACT  times the implanted ion profile     The diffusion models that will include the effect of the point defects are either the TWO DIM or  FULL CPL models  Both models include the local point defect concentra
592. t moments used by the  IMPLANT statement is controlled now by the MOMENTS statement  Parameter DEF_TABLE  specifies that only the default look up implant table athenaimp should be used  Parameter  USER_TABLE  lt filename gt   specifies that the user defined table should be used as a first choice for  the moment search  In other cases parameters specified in the MOMENTS statements  if any  will be  checked first of all        D 12 Silvaco    ATHENA Version History       Template for the User Defined Implant Tables   Auxiliary file USERIMP provides template for  specifying implant moment sets for all types of analytical implant models from the simplest Gauss to  double Pearson with advanced lateral distribution     High Energy Implant Tables   1 to 8 MeV implant tables are now available for all major impant  species for Silicon Oxide     Si Ion Implant into Silicon   results in the interstitial distribution  which allows estimation of  preamorphization effect     Trajectories of Primary Ions and Substrate Atoms Knocked on in the Implant Cascade   can  be now saved in a special TRAJ FILE and subsequently plotted using TonyPlot  This frees ATHENA  from the last dependency on old graphic library plotlib     PRE FACTOR and POW FACTOR Parameters are Eliminated from the IMPLANT Statement    Instead PRE FACTOR parameter is added to the IMPURITY statement  so electronic stopping can  be control for each implant ion  substrate material combination separately  POW FACTOR does not  make a
593. t n gt   DPOS 0  lt n gt    DPOS E  lt n gt    KR 0  lt n gt    KR E  lt n gt    IVFACTOR  lt n gt    IIFACTOR  lt n gt    KTRAP 0  lt n gt    KTRAP E  lt n gt    DAMALPHA  lt n gt     MATERIAL    TIME INJ   GROWTH INJ   RECOMB   KSURF 0  lt n gt    KSURF E  lt n gt    KRAT 0O  lt n gt    KRAT E  lt n gt    KPOW  0  lt n gt    KPOW  E  lt n gt    VMOLE  lt n gt   THETA  O  lt n gt    THETA E  lt n gt    GPOW 0  lt n gt  GPOW E  lt n gt    WETO2 DRYO2  REC STR  lt n gt    INJ STR  lt n gt    A 0  lt n gt    A E  lt n gt    TO 0  lt n gt    TO E  lt n gt    TPOW 0  lt n gt    TPOW E  lt n gt    DCARBON E  lt n gt    KCARBON  0  lt n gt    KCARBON E  lt n gt                  Description    These two equivalent commands specify transport and generation recombination coefficients for  interstitials and vacancies  The statements allow you to specify coefficients for any material  though it  is only practical for semiconductors  ATHENA has measured  or calibrated  default values only for  silicon and some interfaces with silicon     MATERIAL specify the material for which the interstitial  or vacancy  parameters apply as well as  MATERIAL1 for the segregation and transport parameters on the boundary between two materials   see Section 6 2 9     Standard and User Defined Materials    for the list of materials   Default is  Silicon              Defect Diffusion Parameters    D 0 and D E specify the interstitial  or vacancy  diffusion coefficient  D O is the pre exponential       const
594. t plot of Figure 2 14  The MIN SPACING parameter preserves the horizontal mesh  spacing for high aspect ratio grids  ATHENA tries to reduce high aspect ratio grids and MIN  SPACING  stops this  To get a finer grid not at the polysilicon surface but in the middle of polysilicon layer  change  YDY to 0 2  This puts on a finer grid at a distance of 0 2um from the surface of the structure  You can  do this by positioning the cursor in the input file and backspacing over existing text  or entering new  text  For example     DEPOSIT POLY THICK 0 5 C PHOSPHOR 5 0E19 DIVISIONS 10    DY 0 02 YDY 0 2          It is possible to see the effect of changing the YDY  parameter within the polysilicon without rerunning  the whole input file  To do this  highlight the previous statement  DEPOSIT OXIDE      select Main  Control Init from History button  and press the Cont button  The new history file can then be  loaded into TonyPLot  see the right plot in Figure 2 14               TonyPlot V2 1 beta       ATHEMA ATHENA  Data trom history  14 Data from history  1    UA                                      a Ea    ead              SN    S  N  l       AAA  i                                        Ra       j  i     j    NNN     i  ANNI    AA            RR  a    SEESE TAN    i  l  1  J   l    aa a    AN          EEEE PJ  PPP  m     M  W    aa a a Pal   ata al  a a Pal   AEFIA    PERAE    LAA  Lt  ee    LI  a     J    Sesesees  a    ana   PLA  AAAA  Fa a  AAAA  Le   Ge    r      SO  i    N    NINN  
595. tal with the silicon and polysilicon  The remaining metal is then etched away     The SSUPREM4 syntax used to model silicidation seems very natural to an experienced user  For  titanium silicide  for example  the syntax is     DEPOSIT TITANIUM THICK 0 1 DIV 8    DIFFUSE TIME 5 TEMP 650  ETCH TITANIUM ALL                            The results of a salicide simulation are that a titanium layer is formed correctly in the source drain  and gate areas with no reaction with the oxide spacer    No special model syntax needs to be used with the silicide module in order to achieve the silicidation   But  a good parameter to be aware of is GRID SIL on the METHOD statement  This controls grid  spacing within the silicide layer as it grows  This is similar to the way the GRID OX parameter  controls the grid within thermally grown oxides        Question     How is implant damage enhanced diffusion modeled by ATHENA  Which tuning parameters should be  used for matching experimental results     Answer     The effect of implant damage enhanced diffusion is important in many technologies  Typical cases are  the source and drain diffusion in MOSFETs and the emitter diffusion in bipolar devices  Damage  generated by implantation leads to an enhancement to the diffusion of these dopants during  subsequent heat cycles     Simulation of the enhanced diffusion effects are divided between two processes  First  ATHENA must  simulate the implant damage generated by a given implant and secondly it mus
596. talline materials  Two new  parameters are introduced        e MISCUT TH   Target wafer polar angle miscut measured in the XY plane  Y being the inward  direction        e MISCUT PH   Target wafer azimuth angle miscut measured in the XZ surface plane  Z pointing  away from the observer     Fixed wrong damage scaling when sampling capability is used     Improved algorithm of SSUPREM4 deposition  Now  it guarantees that non uniform spacing  specified by DY and YDY parameters is preserved even when number of divisions is changed due to  complex grid    The SSUPREM4 deposition is improved for the case when number of DIVISIONS is not specified   For thin layers with thickness less than 0 012 microns  an uniform grid with spacing of  approximately 0 001 microns will be generated  A non uniform grid with spacing equal to 0 001  microns at the top and bottom of the deposited layer will be generated for thicker layers  The  number of divisions is automatically selected dependent on the layer thickness  It is 12 for the  layers thinner than 0 02 microns and 18 for layers thicker than 2 microns     Improve specification of POLY DIFF model  The model flag used to be set to false unless the  statement METHOD POLY DIFF was immediately before DEPOSIT POLY GR SIZE  lt n gt  statement     Default value for the MIN TEMP in the METHOD statement is returned to original 700  C  The  manual had always stated that it is 700  C  though few previous versions get reduced value of  475C  It is more app
597. tatement    Added Cc  VACANCY and C INTERST to the INITIALIZE statement    Added new standard impurity HELIUM  The only practical application available in the moment is  Monte Carlo ion implantation of Helium    Added capability to oxidize materials other than Si and Poly  New parameter OXIDIZABLE is added  to the MATERIAL statement  If OXIDIZABLE is set to TRUE  then all oxidation related parameters  for the specified material will be set equal to those for Silicon  You can specify the different values  for oxidation parameters in the OXIDE MATERIAL     statement                                         Improved gridding in oxide that results in smaller number of extremely small triangles are  generated in areas of slow oxidation  For example  under the polysilicon gate during reoxidation  process     D 2 2  ELITE Features    1              Added new parameter OUTF   TABLE  lt filename gt  in the RATE ETCH statement  This can be used  for detailed analysis of plasma ions and neutrals distributions  The old parameter OUTFILE in the  RATE ETCH statement has changed to OUTF   ANGLE because it specifies a file with ions vs  angle  distribution output                             D 2 3  OPTOLITH Features    1     Reimplemented Proximity Printing Model in OPTOLITH  which simulates imaging without any  reduction lens  To use this model  specify the GAP parameter in the IMAGE statement  The model is  implemented for Manhattan  Circular  Ring and Multi Ring masks        Added capabilit
598. tatements           2 4    Silvaco    Tutorial       2 2  Operation Modes    ATHENA is normally used through the DECKBUILD run time environment  which supports both  interactive and batch mode operation  We recommend that you always use ATHENA through  DECKBUILD  In this section  we present the basic information you need to run ATHENA in the  DECKBUILD environment  The VWF INTERACTIVE TOOLS USER   S MANUAL  VOL  I provides a more detailed  description of the features and capabilities of DECKBUILD     2 2 1  Interactive Mode With DeckBuild    To start ATHENA under DECKBUILD  type     deckbuild  an  at the UNIX system command prompt  The command line option  an instructs DECKBUILD to start  ATHENA as the default simulator   To start with an existing input file  type     deckbuild  an  lt input filename gt     The run time output shows the execution of each ATHENA command and includes error messages   warnings  extracted parameters and other important output for evaluating each ATHENA run  When  you run ATHENA interactively  the run time output is sent to the Deckbuild Text Subwindow of the  Deckbuild Application Window and you can save it as needed  You don   t need to save the run time  output explicitly  The following command line  however  specifies the file name that will be used for  storing the run time output     deckbuild  an  lt input filename gt   outfile  lt output filename gt     In this case  the run time output is sent to the output file and to the output section 
599. tax       BASE  PAR     MAT        ERIAL      GRAD  SPACE         RATIO BOX     BASE  PAR runs the base mesh for generating the initial grid     MATERIAL one of standard materials or user specified material  see Section 6 2 9     Standard and    User Defined Materials    for the list of materials      GRAD SPACE specifies the gradient of the adjacent grid spacing in the y direction of this material     Default is 1 5     RATIO BOX specifies the approximate aspect ratio of triangle element after base mesh generation in    this material  Default is 2 0     Examples    The following example generates a good quality base mesh for each related material region     For more examples  see      BASE   BASE   BASE   BASE   BASE   BASE        PAR  PAR  PAR  PAR  PAR       PAR                Nn Nn WN DN    OXIDE GRAD   SILICON GRAD  POLYSILICON GRAD   OXIDE GRAD   SILICON GRAD  POLYSILICON GRAD   BASE   MESH                       PACE  PACE  PACE  PACE  PACE  PACE       RA  RA    RA  RA       RAT       RA    TIO   TIO   IO   TIO   TIO   TIO     BOX 2  BOX 2  BOX 2  BOX 2  BOX 2  BOX 2       Silvaco    BOUNDARY       6 9  BOUNDARY    BOUNDARY specifies boundary conditions for the initial material     Note  For most typical boundary conditions  ATHENA has defaults that eliminate the need for BOUNDARY statements  The  BOUNDARY statement can be used to modify the treatment of the surfaces for special purpose simulations                             Syntax   BOUNDARY    REFLECTING  EXPOSED   B
600. tax   PARAM FALSE or PARAM F        A mutually exclusive choice among parameters is indicated by parentheses around the parameters  and vertical bars between each parameter  PAR1 PAR2   Only one parameter in such a group may be  specified at a time  Specifying more than one parameter in a mutually exclusive group is an invalid  operation and will generally prompt a warning or error message     Parameters that are optional to a statement are enclosed by brackets      Most parameters are  assigned default values and so defining them is optional  All parameters and parameter values   however  should be checked in the context of the actual process that will be simulated before relying on  the results of any simulation              String valued parameters can be specified as a single word  e g   INFILE FILE1  or as a sequence of  words surrounded by double quotes  e g   MATERIAL  Nickel Silicide   Real valued parameters  can be specified as expressions involving numbers  numerical constants  the operators               and  the functions listed in Table 6 2  If an expression contains spaces  then enclose it in parentheses                 6 2    Silvaco    Overview          Table 6 2  Functions                                     Function Description   abs5 Absolute value   active Active portion of the specified dopant   erf Error function   erfc Complimentary error function   exp Exponential   gradx Computes the approximate gradient in the x direction  grady Computes the approxim
601. te or BCA into a structure where many ions could  be reflected  trenches   when channeling  is not described by SVDP  high or very  low energy  Silicon Amorphous No channeling   Most of implant profile is within amor   Type effect is phous materials  oxide  polysilicon  pre   included amorphized silicon   channeling is negli   gible or not important  Crystal   Default Channeling When channeling effects are important   effect is light ions  boron  phosphorus _  zero or  included close to 0   tilt  implant through thin  amorphous layer into crystalline sub   strate          Silvaco       ATHENA User s Manual       Impurity    Boron Phosphorus   Arsenic   Bf2       Antimony Silicon Zine   Selenium                  Beryllium Magnesium   Aluminum   Gallium       Carbon Indium Chromium   Germanium       Dose  ions cm2   4 5 1 0      9 9 Exp  T  13       Energy  Ke     20 O    500    Model  Dual Pearson   Gauss   Full Lateral   Monte Carlo    Tilt  degrees   7 0   jH 90    Rotation  degrees   0 ofr 360    Continual rotation  _     Material type    Crystaline Amorphous  Damage    Point defects  lt 311 gt  Clusters Dislocation loops    Point defects     Scaling factor      lt 311 gt  Clusters   Min cluster thresh  1  1 0 J 99 Exp  FT  17    Max cluster thresh  1 5 1 0       99 Exp  T  19    Cluster scaling  1 40 0 00  lt a 200  Dislocation loops    Min loop conc  1 0 1 0 J 99 Exp  T  17   Max loop conc  1 0 10 H 99 Exp  T  18    Initial random number  2 2 k   10000  i j  s  l  Number 
602. tement syntax description signifies that you can only specify one of  impurity names from the list below  The generic name IMPURITIES appeared in a statement syntax  description signifies that you can specify one or more impurity names from the list below in the  statement simultaneously  The impurity names below can appear as is or as a part of a parameter  name  e g  I  BORON  C  BORON and F     BORON  The following is the list of standard impurity names  currently available in ATHENA                                                                    ALUMINUM  ANTIMONY  ARSENIC  BERYLLIUM  BORON  CARBON  CHROMIUM  FLUORINE   GALLIUM  GERMANIUM  GOLD  HELIUM  HYDROGEN  INDIUM  MAGNESIUM  NITROGEN  OXYGEN   PHOSPHORUS  SELENIUM  SILICON  and ZINC                                                                                                       6 8    Silvaco    ABERRATION       6 3  ABERRATION    ABERRATION defines aberration parameters of the optical projection system                 Syntax       ABERRATION   X FIELD  lt n gt    Z FIELD  lt n gt    SPHERICAL  lt n gt    COMA  lt n gt    ASTIGMATISM  lt n gt    CURVATURE  lt n gt    DISTORTION  lt n gt    FIFTH SEVENTH NINTH    Cl  lt n gt    C2  lt n gt    C3  lt n gt    C4  lt n gt    C5  lt n gt     C6  lt n gt    C7  lt n gt    C8  lt n gt    C9  lt n gt    C10  lt n gt    C11  lt n gt    C12  lt n gt    C13  lt n gt    C14  lt n gt    C15  lt n gt    C16  lt n gt    C1 7  lt n gt    C18  lt n gt    C19  lt n gt    C20
603. temperature dependence        Examples    The following command introduces clusters during ion implantation  The clusters will have an  effective interstitial concentration of 1 4 times the concentration of implanted boron  The clusters will    lie in the region where Boron is between 10  and 101    cm     zZ    ETHOD CLUSTER DAM          CLUSTER I BORON SILICON MIN CLUS 1e15 MAX CLUST 1e19 CLUST FACT 1 4                IMPLANT DOSE 1e14 ENERGY 50 BORON                The example goes on to define the cluster dissolution time and a short thermal cycle  Results for each  timestep of the diffusion cycle will be stored in files RTA_     CLUSTER I BORON SILICON TAU 311 0 10 TAU 311 E 0 24                DIFFUSE TEMP 1000 TIME 10 60 NITRO DUMP 1 DUMP PREF RTA_                      For more examples  see METHOD  DISLOC  LOOP  INTERSTITIAL  and VACANCY                       6 18 Silvaco    COMMENT       6 11  COMMENT    COMMENT is used to specify character strings for documenting the input deck and ATHENA output        Syntax       COMMENT          or     Description       The COMMENT statement    or   are used to document the input file  You can insert them in the  beginning of any line of the input deck        Silvaco 6 19    CPULOG ATHENA User s Manual       6 12  CPULOG    CPULOG instructs ATHENA to output CPU statistics   Syntax    CPULOG   LOG   CPUFILE    lt c gt         Description    The CPULOG statement logs the CPU time used in various internal operations  The CPU time  i
604. temperature for the first type of ions  which is unitless   MC LAT T2 specifies the plasma lateral temperature for the second type of ions  which is unitless     MC ION CU1 specifies the plasma ion current density for the first type of ions  ions second cm      MC ION CU2 specifies the plasma ion current density for the second type of ions  ions second cm    MC PARTSI1 specifies the number of MC simulated particles for the first type of ions    MC PARTS2 specifies the number of MC simulated particles for the second type of ions   MC ANGLE1 specifies the incident angle for the first type of ions  The default is 0  normal incidence      MC ANGLE2Z specifies the incident angle for the second type of ions  The default is 0  normal  incidence      Wet Etch Example    The following example defines an etch machine that attacks silicon with wet etch characteristics and  an etch rate of 0 1 micron minute           RATE ETCH MACHINE TEST SILICON WET ETCH ISOTROPIC  1 U M          HJ                         Silvaco 6 93    RATE ETCH ATHENA User s Manual       Monte Carlo Plasma Etch Example    The following statement defines parameters of Monte Carlo Plasma Etch machine as well as etching  characteristics of Silicon associated with this machine           RATE ETCH MACHINE MCETCH SILICON MC PLASMA ION  TYPES 1    C PARTS1 20000 MC NORM T1 14 0 MC LAT T1 2 0    C ION CU1 15 MC ETCH1 1le 05 MC ALB1 0 2 MC PLM ALB 0 5                              C POLYMPT 5000 MC RFLCTDIF 0 5       Silvaco
605. tep  on each DIFFUSE statement     e Integer IMPLANT MES specifies which adapting algorithm to use on IMPLANT statements  cur   rently IMPLANT MES   0 corresponds to University of Florida   s algorithm  This is the default        Silvaco D 15    ATHENA User s Manual       The parameters available on the ADAPT PAR statement are as follows     e Adaptive meshing control variables are available on the ADAPT PAR statement  They are  MIN ADD  IMPL SUB  DIFF SMOOTH  and IMPL SMOOTH     e MIN ADD stops point addition in IMPLANT when the number of points added in the current loop is  less than MIN ADD    total number of points   The default value for MIN ADD   0 05     e IMPL SUB is a boolean flag that stops point removal during IMPLANT adaptive meshing  The  default value for IMPL SUB   false signifies that points are not being removed     e Integer DIFF  SMOOTH specifies which annealing algorithm to use after each adaption step  cur   rently DIFF SMOOTH   0 corresponds to no annealing during DIFFUSE  DIFF SMOOTH   1 corre   sponds to Laplacian smoothing and the dose conservation interpolation algorithm  The default is  DIFF SMOOTH 0     e Integer IMPL SMOOTH specifies which annealing algorithm to use after each adaption step  cur   rently IMPL SMOOTH   0 corresponds to no annealing during IMPLANT  IMPL SMOOTH   1 cor   responds to Laplacian smoothing and the dose conservation interpolation algorithm  The default is  IMPL SMOOTH 1     e Boolean SILICON  OXIDE      specify material r
606. ter  option to save ion trajectories in a special structure file for  subsequent display in TONYPLOT  in the IMPLANT statement  the multi threading capability will  switch off  The FULLROTATION parameter of the IMPLANT statement couldn t be specified  simultaneously with multi threading  Multiple rotation statements should be used instead     Implemented BCA implantation models for  110  and  111  silicon        Added capability to MC Implant Module that allows to simulate damage or preamorphization  induced by arbitrary  inert  ion bombardment  You can specify atomic number Z1 and atomic  weight M1 in the IMPLANT statement  Only implant damage will be introduced into the structure  after the completion of the Z1 ion implant  The level of this damage will affect subsequent  normal   implant profiles     Note  If M1 is not specified  the atomic weight of the main isotope of element Z1 will be used                 4  Memory management of all modules is substantially improved  As the result  the limits on number  of grid points  nodes  and triangles in simulation structure are removed  This allows to perform  simulation in large multilayer structures without sacrificing accuracy    5  Fixed the capability to specify diffusion through  impurity vacancy pairs  defined by parameters   such as DVX 0  DVX E    6  Added positively charged vacancy impurity pair diffusion parameters to the IMPURITY statement   DVP 0  DVP   E  DVPP   0  and DVPP   E    7  Added values for diffusion and a
607. teral Spread of Implanted Ions  Theory      NASECODE VI  Ed  J J H Miller  Boole Press  p 513  1989     D G  Ashworth  M D J  Bowyer  and R  Oven     Representation of Ion Implantation Distributions in  Two and Three Dimensions     J  Phys  D  v  24  p  1120  1991     G  Hobler  E  Langer  and S  Selberherr     Two Dimensional Modeling of Ion Implantation with  Spatial Moments     Solid State Electronics  v  30  p  445  1987     M  Temkin and I  Chakarov     Computationally Effective Model for 2D Ion Implantation Simulation      Semiconductor Process and Device Performance Modeling  Eds  S T  Dunham  J S  Nelson  MRS  p   27  1998     R Oven  D G Ashworth  and M D J  Bowyer     Formulas for the Distribution of Ions Under an Ideal  Mask     J  Phys  D   v  25  p 1235  1992     J E  Gibbons  W  S  Johnson  and S  W  Mylroie  Projected Range Statistics 2nd edition    Stroudsburg  Pennsylvania  Dowden  Hutchinson   amp  Ross  Inc   1975     A F  Burenkov  F F Komarov  M A Kumakhov  and M M Temkin  Tables of Ion Implantation Spatial  Distributions  Gordon  amp  Breach Science Publishers  1986     O  B  Firsov  Soviet Physics JETP  v  33  p  696  1957    J  F  Ziegler  J  P  Biersack  U  Littmark  The stopping and range of ions in solids  v  1  Pergamon  Press  1985     UT Marlowe Version 5 0 User manual  University of Texas  Austin  USA  1999   O  B  Firsov  Soviet Physics JETP  v  36  p  1517  1959     W  Brandt and M  Kitagawa     Effective Stopping Power Charges of Swift Ions i
608. tes of mask specification  which define the left and right of  simulation space    e The number of mask in the file    e The first line of each mask description includes the mask name  e g   Poly  and number of opaque  segments  Each subsequent line gives the minimum and maximum coordinates of each of these  segments     DECKBUILD will generate the LINE X statements  which are used by ATHENA according the  following rules        1  The lines will be generated at each mask edge        2  The grid spacing at these lines will be equal to DX MIN specified in the MESH statement        3  If none of the mask edges coincides with left or right boundary of the simulation space  the LINE  statement corresponding to such boundaries will be without spacing        4  Additional one or two LINE statements will be generated between the lines corresponding to  mask edges  The SPAC parameters at these additional lines will be minimum of DX MAX and  DX MIN   DX RATIO    n  where DX MIN  DX MAX and DX RATIO are parameters specified in  the MESH statements  This will guarantee that grid spacings in the horizontal grid will be  increased far from mask edges        These rules are illustrated by the following example of a structure with two POLY gates  If the  Mask Data File has the following fragment    1 000000E 03       0 3000   J   POLY 2  800 1200  1800 2200    and the MESH statement       MESH dx min 0 01 dx max 0 1 dx ratio 2 follows  then DECKBUILD will generate the next  sequence of the 
609. that you let the device simulator calculate the work function of  the gate electrode from the simulated doping profile rather than assigning a value to it  This means   making sure that the polysilicon gate is not itself defined as an electrode but rather a layer of metal   usually aluminum  is deposited on top of the polysilicon gate  Therefore  this metal layer is the film  defined as the electrode  Do not assign a work function to this deposited metal electrode to ensure that  it behaves as an ohmic contact rather than a Schottky contact  The effective work function of the poly  gate will then be correctly calculated from the doping profile in the polysilicon     An important area for accuracy in MOSFETs is modeling the inversion region under the gate  As it is   this charge that is responsible for current conduction in the device  The inversion region charge under  the gate only extends approximately 30 Angstroms into the silicon  The inversion region charge  density under the gate falls off rapidly with depth into the silicon  It is imperative that there are  several mesh points in the Y direction in this inversion region to model the drain current correctly   Accordingly  we recommend that the mesh spacing under the gate be no more than 10 Angstroms  1  nm      You would think that a 10 Angstrom mesh under the gate would result in a huge number of mesh  points  But  there only needs to be approximately three mesh points within the inversion region in the  Y direction  T
610. the  moments are not found in the specified file  ATHENA will proceed to the standard tables  Finally  the  set of MOMENTS statement can be used to specify all spatial moments for any ion material energy dose  combination  ATHENA will use parameters from this set before proceeding to a standard search  sequence  If the moments for certain implant conditions are unavailable  then you can use the Monte  Carlo simulation for these conditions     Using PRINT MOM for Extraction of Spatial Moments    The PRINT MOM parameter in the IMPLANT statement prints the calculated  or extracted from the  tables  moments into output and also saves the moments in the standard structure file  The last  capability allows you to use the extract  EXTRACT statement  and substitution functions of DECKBUILD  for automatic generation of the MOMENTS statement  If spatial  lateral and mixed  moments need to be  found from Monte Carlo calculation  use the IMPCT POINT parameter because it forces all trajectories  to be started in one point  This not only allows the spatial moments to be found  but also the building  of a Monte Carlo calculated source point 2D distribution function  which can be useful for comparison  purposes                                                  3 5 4  Monte Carlo Implants    The analytical models described in the previous section give very good results when applied to ion  implantation into simple planar structures  bare silicon or silicon covered with thin layer of other  mat
611. the Athena Cutline Popup  see Figure 2 51  is  decreased from 0 05 to 0 025  a finer grid will be obtained at both POLY gate edges  see Figure 2 52      When the location of a cutline and the corresponding grid are satisfactory  the cutline information can  be stored or used either as a Cut file or as a cutline object     You can save the Cut file by pressing the Write button in the ATHENA Cutline Popup  You can then  load this file into DECKBUILD for use in ATHENA by selecting MaskViews    gt Cutfiles    from the Tools  menu in the DECKBUILD window  The MaskViews Cut Files popup  Figure 2 54  will then appear  Select  the desired     sec  file and press Load        Silvaco 2 69    ATHENA User s Manual       MaskViews Cut Files       Category   F  Disk Files    Directory  export main mishat athman        Filter  sec                  default sect  defaultseco       Co  E  x        Filename defaultsect                Figure 2 54  MaskViews Cutline Files Window    You can now select any preview as shown in Figure 2 52  Press the Select mouse button anywhere  within the Display Masks window and the cutline icon will appear  Without releasing the Select mouse  button  drag the icon into the MASKVIEWS Cut Files Window and drop it by releasing the Select mouse  button     Several cutlines with different locations and grids can be dragged and dropped in this fashion  You can  then load them into DECKBUILD for use by ATHENA     When ATHENA is loaded with a cutline  DECKBUILD will comm
612. the METHOD statement is used for two purposes              The first purpose is to specify models for how damage is induced during processes such as  implantation or oxidation  The second purpose is to specify how that damage anneals and diffuses in  subsequent or concurrent thermal processes        It   s important to realize that the METHOD statement must be placed above the line  specifying the  process step or steps to which it refers in the input file  Any number of method statements can be used  in an input file allowing you to change the models at will during the process flow to optimize the speed  and accuracy of the simulation  The models specified in the METHOD statement will hold true for all  processes that follow it until it   s updated by a subsequent method statement           2 30 Silvaco    Tutorial       Table 2 1 below indicates a recommended method statement for typical processes  It should be  realized that these statements are hierarchical  so there is no accuracy lost if a more complicated  model is used where a simpler one would suffice  The only downside here is a longer simulation time   The table below starts off with the simplest of models and progresses to the more complicated ones     Table 2 1  Recommended Method Statements for Typical Processes       Method Statement Syntax Suitability of using this method syntax       method fermi Use only before undamaged silicon diffusions  where doping  concentrations are less than 1e20 cm3 and no oxidizing
613. the SSUPREM4  model statements  such as METHOD  OXIDE  MATERIAL  and IMPURITY                                For more information about SSUPREM4  see Chapter 3     SSUPREM4 Models     For more information  about the MODEL statements  see Chapter 6     Statements           When simulating any process involving dopant or its diffusion or both  it is absolutely critical for  simulation accuracy to use the appropriate model  Process steps where correct choice of models are  vital include implantation  diffusion  rapid thermal annealing  oxidation  and epitaxy  This section  provides specific advice on which models should be used for each process step     2 4 2  The Reason for Multiple Models for Each Process    The key to simulating any dopant related process is to accurately account for damage in the  semiconductor  For example  in silicon processing  typical implantation doses can cause sufficient  damage to the substrate to enhance dopant diffusion rates by three orders of magnitude or more  so  choosing the wrong model in this instance will result in inaccurate results     Well known device anomalies such as the Reverse Short Channel Effect in MOS processing or the  emitter push effect in bipolar processing are wholly the result of such damage enhanced diffusion     Other processes that consume the semiconductor  such as oxidation and silicidation also inject damage  into the substrate  This must be accounted for if accurate dopant profiles are a requirement     This section 
614. the laboratory coordinate system         Silvaco 3 83    ATHENA User s Manual       Specifying different ROT   SUB will have an effect on channeling  But remember  that ion propagation is  three dimensional and there are some channeling patterns that remain the same or become stronger   weaker because of favorable unfavorable initial impact conditions  TILT ROTATION ROT  SUB  combination      If other substrate material is used  say 4H SiC  the simulation plane  X  Y plane in Figure 3 23  in  ATHENA coincides with 4H SiC  1100   crystal plane in Figure 3 24  This is specified by ROT  SUB 0     major flat            simulation plane    7 1  gt    pans  e  AWOOThS   Pr t i o i           Figure 3 24  4H SiC 1100   Crystal Plane    If you want to specify the  1120   crystallographic plane as being the simulation X  Y plane in ATHENA   then set it to ROT   SUB 90  MC Implant in ATHENA requires that ROT   SUB should be always less than    90  Therefore  you need to use other equivalent crystallographic planes  for example  2110    which  could be specified by ROT  SUB 30     Amorphous Material Monte Carlo    In the doping of semiconductors  the rest distribution of the implantations is of principal importance   The penetration of ions into amorphous targets is most simply described by using a Statistical  Transport Model  which is the solution of Transport Equations or Monte Carlo Simulation  Among the  two approaches  Monte Carlo is more convenient for multiple components and two 
615. the silicon substrate by the advancing interface  The  first parameter to tune is the fraction of consumed silicon atoms that are re injected back into the  substrate as interstitials  In ATHENA  the related tuning parameter is called THETA  0 and is defined  in the INTERSTITIAL statement  THETA 0 has been found to be slightly different for wet and dry  oxides  The default value is reasonably accurate for dry oxides but some tuning may be required for  wet oxidation              The major effect of interstitial injection during gate oxidation is to create enhanced diffusion of the  threshold adjust implant  The measured threshold voltage of the final device is very sensitive to the  dopant concentration near the silicon gate oxide interface  Consequently  threshold voltage  measurements are a sensitive indicator of interstitial behavior  Oxidation  however  is not the only  source of interstitial injection  The source drain and LDD implants also induce a large concentration of  interstitials  In order to isolate oxidation enhanced diffusion  the threshold voltage of a long gate       Silvaco 2 43    ATHENA User s Manual       length device is used  preferably where L 20 um or more  so that the threshold voltage will be little  influenced by damage near the source drain regions     Interstitials injected by source drain implant damage can travel up to 10 um along the surface before  recombination takes place  A gate length of 20 um is recommended as the minimum gate length for
616. the timesteps during oxidation and uses additional equations  is  not present in the geometrical mode  Therefore  the DIFFUSION statements usually execute much  faster when only the oxidation is being simulated  This mode can be used to check the geometry  generated by etching and deposition processes as well as the validity of mask steps  Since  oxidation still occurs  oxide thicknesses as well as bird   s beak shapes can be estimated  But  you  should be aware that dopant enhanced oxidation effects are not taken into account in this mode        3  Coarse Grid Mode   In this mode you can alter the number of grid points without changing the  LINE statements  It can be done by changing the Parameter Spacing factor in the ATHENA Mesh  Initialize menu  This will change the parameter SPACE  MULT in the INITIALIZE statement  The  value of SPACE MULT is the amount by which the grid spacing specified in the ATHENA Mesh  Define menu is multiplied  A value for SPACE  MULT that is greater than 1 0 will reduce the total  number of grid points   A SPACE MULT value that is less than 1 0 will create a finer mesh  throughout the initial structure   Reducing the number of grid nodes greatly increases speed  You  can still observe dopant diffusion in 2D  and get valuable information about the accuracy of the  input file before committing to the full simulation                    Each of these three fast modes of operation have the advantage  They only require minor modification  during mesh i
617. they react  Therefore  the probability of their sticking is considered   You can define the sticking coefficient using STICK parameter in the RATE DEPO statement                                                              Random X coordinate          Relaxation       Figure 4 7  Deposition and Relaxation Model used in Ballistic Deposition model  MONTE2        4 10 Silvaco    ELITE Models       The model uses an analytical approach to calculate a surface diffusion through a normalized gaussian  distribution nd     2  nd   exp       _  4 12  SIGMA  DEP    where x is the point of contact with the surface as shown in Figure 4 7        MONTE2 invokes a ballistic deposition model  which simulates film growth by the random irreversible  deposition of hard two dimensional discs launched with linear trajectories from a random point at the  top of the simulation area towards the structure surface     At the point of contact with the growing film  the incident discs are relaxed to the nearest cradle point  with the highest coordination number  contacting the largest number of neighbor discs  within a  radius equal to SIGMA DEP  which is four disc diameters by default        The profile was initialized using a series of discs  In order to inhibit unrealistic    epitaxial    growth from  a closest packed surface  91  and  92   the initial series of discs was spaced with centers approximately  1 3 diameters apart     This relaxation process simulates limited surface diffusion that occurs 
618. ting wafer  mount     User definable materials added   The capability to define new materials in SSUPREM4 has  been included in this release  This allows separate treatment of materials deposited using different  processes     Ramped DIFFUSION syntax change   The RAMP parameter has been removed from the diffu   sion statement  If the parameter is present it will be ignored  Temperature ramps for thermal diffu   sions can now be specified by adding either the T FINAL or T RATE parameter to any DIFFUSION  statement  The initial temperature must be specified using the TEMPERATURE parameter     Line continuation syntax change   Line continuation is now supported in a manner consistent  with use within DeckBuild  The line continuation character for SSUPREM4 as well as other simula   tors running under DeckBuild is    backslash   The   character should be the last character on a  line that is to be continued on the following line     ETCH statement default change   The TOP LAYER parameter on the ETCH statement defaults  to true  This parameter can be set to false to etch underlying material layers simultaneously with  exposed layers of a particular material  SSUPREM4 will now give information warning messages for  etches that create voids within a structure  In addition  unexposed materials will not be etched  unless TOP LAYER is set to false     TonyPlot and go syntax supported   The command TonyPlot can be included in a SSUPREM4  input deck and will initiate a ToNyPLoT of the str
619. tion  displaying the results from the TWO DIM and  FERMI models           3 62 Silvaco    SSUPREM4 Models          TonyPlot V2 6 9 Ir ll    Files  View    Plot     Tools     Print     Properties    Help 7   si      5 E  k  6              A Ey  i  L  gt     0 OOF 008 O12 O16 02 O24 028 4 8  Depth   Microns   Depth   Microns         SILVACO International 1996       Figure 3 20   a  Antimony Concentration Versus Depth  b  Corresponding Vacancy Concentration Versus Depth       Silvaco 3 63    ATHENA User s Manual       3 4  Silicidation Model    Silicide modeling capability is implemented in SSUPREM4  Silicides are formed when a metal reacts  with silicon or polysilicon to create an intermediate phase  The conductivity of silicides is typically    orders of magnitude greater than that of highly doped n  and p  regions  Modern CMOS technologies  use silicides to reduce contact and interconnect resistances  Also  the use of SALICIDE technology   self aligned silicides  is a practical way to reduce poly gate resistance and source and drain sheet  resistance     Silicidation process is invoked by depositing refractory metal layers on the exposed silicon poly surface  and then specifying a thermal cycle in the DIFFUSE statement  There are four standard refractory  metals in ATHENA  Titanium  Tungsten  Platinum  and Cobalt  Corresponding silicides are called  TISIX  WSIX  PTSIX  and COSIX  User defined metal and corresponding silicide can be also specified  using parameters MTTYPE and 
620. tion in the diffusion rate of the  dopants  Both interstitials and vacancies diffuse quickly compared with dopant ions  The point defects  also recombine as the implant damage is annealed out     When it comes to tuning to match measured doping profiles  two approaches are possible  Either the  damage during implant or the diffusion effect of the point defects could be used  The amount of point  defects generated during an implant is extremely difficult to measure  Similarly the model parameters  for both diffusion and recombination rates for point defects are uncertain  All are areas of current  academic research     Typically  the most effective tuning parameter in this type of simulation is the DAM  FACT value itself   Figure C 1 shows how fairly small changes in this parameter affect the doping profile  A value of 0 01  is typical  An Athena implant statement for an MOS source drain might be        IMPLANT ARSENIC DOSE 3 0E15 ENERGY 60 UNIT DAMAGE DAM FACT 0 01                         ATHENA  Effect st Implant Damage Factor on N  Source Drain Profile  2 ee pte Messer Be Bis Bis teat tatiana eee       x Arsenic   cm3     Ge J    DAMAGE FACTOR      oe 0 0  x 0 005  0 01  0 02    0 05  o 1             Figure C 1  Variations in diffusion due to tuning of DAM FACT parameter     Figure C 2 illustrates how the damage produced by source drain implants affects the center of a MOS  transistor with varying gate length  For shorter gate length devices  the damage at the source drain 
621. tity oes Activation Energy  actor  Kr KTRAP  0 KTRAP  E  e FRAC  O0 FRAC  E  Cr TOTAL                       The trap equation is either derived from the simple reaction   I TS IT 3 30    or posed as a rate equation     Cer  ot          K7C Cpr t  K  Cr  Cer  3 31    where K  is the trap emission rate  In equilibrium  the left hand side of Equation 3 31 must vanish   giving        3 10 Silvaco    SSUPREM4 Models       A     C     oC  xe  KyCy  Cony   KCC  SRS a  3 32    ioe   G       Substituting this value for K  into Equation 3 31 leads to the expression in Equation 3 32     The recombination rate of  311  clusters  R g11   in Equation 3 24 accounts for the release rate of   311  interstitial clusters  which are small  rod like defects that have been observed in Transmission  Electron Microscopy  TEM  studies after medium to high dose implantation of impurities into silicon   Since a large fraction  if not all  of the excess interstitials after implantation are believed to exist in  this form  the time scale for dissolution of  311  clusters plays an important role for the duration of any  Transient Enhanced Diffusion  TED      Think of these volume defects as small pockets of interstitials distributed throughout certain parts of  the doped regions  which are released during annealing  thus  acting as bulk sources of point defects     Note  Actually   311  defects are believed to be created from excess free interstitials during the earliest part of the annealing  cycle throu
622. to diffusion in silicon  Impurities in the  grain boundary diffuse more quickly as set by the GB DIX 0 and GB DIX E parameters on the  IMPURITY statement  The advanced polysilicon diffusion model is invoked by specifying the  POLY DIFF parameter on the METHOD statement  The METHOD POLY DIFF statement should  precede the deposition of the polysilicon     The CRYSTAL parameter on the IMPLANT statement is now true by default  This parameter  determines whether silicon materials will be treated with a full crystal representation during  Monte Carlo ion implant calculations  The previous default can be obtained by including CRYS   TAL f on the IMPLANT statement  Monte Carlo implant calculations will now take longer to per   form due to the use of the more complete crystalline model  The AMORPH parameter can now be  used instead of CRYSTAL f to determine which model for Monte Carlo ion implant will be used   Either AMORPH or CRYSTAL f can now be used to specify that statistics for amorphous silicon be  used for analytic ion implant calculations  The UNIT DAMAGE model now has a default value for a  DAM FACTOR of 0 01    e Dynamic amorphization is now included in the Monte Carlo ion implant capability  This models the  amorphization that takes place during implantation     e The MATERIAL statement includes the boolean parameter  DAM THRESH   that specifies the  implant damage threshold in eV  This can be used to control the extent of amorphization that occurs  during implant  The par
623. to give  overall agreement with the available experimental data  The figure also demonstrates that there can  be a possible disagreement with individual set of measurements  Similar stopping powers validations  were performed for other important materials  The accuracy of the calculated ranges in ATHENA is  within 10  for majority of ion material combinations  which is close to the best possible achievements  of today   s theory of stopping and ranges        3 90 Silvaco    SSUPREM4 Models       3 6  Deposition Models    A deposition step is simulated by the DEPOSIT statement where the material and the thickness   THICKNESS parameter  of the layer to be deposited must be specified  The deposited layer is  constructed by a simple algorithm that describes conformal deposition  In this algorithm  the whole  layer is divided into a number of sublayers with thicknesses equal to grid spacings calculated  according to the grid control algorithm  see Section 3 6 2     Grid Control During Deposit      Each  sublayer is deposited and triangulated separately           More complete physically based models for deposition are available in the ELITE module as described  in Chapter 4     ELITE Models        3 6 1  Deposition of Doped Layers    You can add the uniform or graded concentration of impurities or defects or both to each node of the  deposited material by using the C BORON  F BORON  C INTERST parameters in the DEPOSIT  statement           3 6 2  Grid Control During Deposit    You 
624. to non uniform rectangular elements defined by  the LINE X and LINE Y statements  By default each box element is then divided into two rectangular  triangles by a diagonal going from the bottom left to upper right corner of the box  In some  applications it is preferable to have a symmetrical grid triangle orientation locally                                               One of the examples is etching of a non vertical trench  If TRI  RIGHT  default  is specified all boxes  between this line and the line with TRI  LEFT  or the last line  will be divided by a  bottom left to  upper right  diagonal  If TRI  LEFT is specified the boxes will be divided by a diagonal going from  upper left to bottom right corner of the box        4             H             Example    In the following specifications  there are 3 user specified x lines and 2 user specified y lines  Spacing of  the x lines is finer in the center than at the edges  After processing  ATHENA produces a mesh with x  lines at 0 0  0 42  0 69  0 88  1 0 1 12  1 31  1 58  2 0  Around the center  the spacing is 0 12   approximately what was requested  At the edge  the spacing is 0 42 because that was as coarse as the  line spacing could get without having an interval ratio greater than 1 5  If the interval ratio is set to 9   then we would have one interval of 0 9 and one interval of 0 1 on each side  In this example  specifying  a spacing of 1 would produce an x line at 0 0 and 1 0                                          
625. tride viscosity depends on the oxidation temperature as well  You may use the parameter  VISC E when the temperature dependence of the oxide shape is considered     7  Model parameters VC  VR  and VD  see Equations 3 147   3 149  can also be used for tuning   Default parameter values are reasonable for temperatures of 1000   C and higher  For several test  structures the alternative set of these parameter values  VC 300  VD 60  and VR 12 5  are more          appropriate for lower temperatures   950   C         Silvaco C 3    ATHENA User s Manual       Question     How can a self aligned silicide process be modeled in SSUPREM4  Are there any special model  parameters required     Answer     The formation of metal silicides can be simulated using the optional silicide module in SSUPREM4  In a  typical self aligned silicide  salicide  process  the goal is to form a silicide layer on the polysilicon gate  and MOS source drain regions  The silicide layer in the source and drain regions permits device  designs with shallow junctions that still have low n  or p  sheet resistances  On the gate  the silicide  layer forms a low resistance interconnect  The process is self aligned since the oxide spacer on the gate  sidewall is used to prevent the silicide shorting gate to drain     The usual sequence for salicide is to deposit a refractory metal layer  Commonly used metals are  titanium  tungsten  and platinum  Then a short  fairly low temperature heat cycle is applied to react  the me
626. ture Manipulation  Tools          yikes ea sce ed Shee heated eek Det ee bccn 2 56  2 8 2  Deposition and Wet Dry Etching using the Physical Models in ATHENAVELITE                0 05  2 59  2 8 3  MaskViews Interface 0 02 enindt pees yee toed ebeeede adit ved bewet ines eed eee eee bee xs 2 65  2 9  Using ATHENA OPTOLITH s22siiessiee scence stare ee hee dee eee Eanes Se eee eae ss 2 74  29 VWVOVEWIOW fois niki cele es tele eee eu leet pete ste ber tai hil eee beets cts 2 74  2 92  Creating A Maska ede tur letigem nee eatin cutee el yee elare emit ead REEDE 2 74  29 3  Illumination System  sess seen e wows ee ehive dhe alee EEEE EE 2 77  2 9 4  The Projection SISIOM cence carte adei cnr EE een Meath Mune ee h r eae Rw AL O dee ty 2 79  2 9 5  IMAGING CONO lma tars penn k etal NS ou tania Aa e AE AE Uh eR ete eta Ree nk Seat At Sed 2 80  2 9 6  Defining Material Properties       2 oo Sotto teat eh ee So ee ih Re Seg 2 82  27S OUMIOTUNE EXPOSURE  citi tae oN Ged tact Shee A Maat ciate cial ATi ola Hie E te a Ua atl 2 82  2 9 8  CD Extraction  Smile Plots  And Looping Procedures             0c  e cece eee eens 2 84  2 10  Adaptive MESHING oir ena rnai na e a wle aetna Was w apa es aie aad A toate wan 2 86  2 10 1  Introduction to Mesh Adaption       ite inte tela eden t ote cotta ea aed teh eae Rens 2 86  210 2  Interface  Mesh  Control mie ke as cin ae cee need Pets OO E ace EERE EEN che area ae ef 2 89   Chapter 3   SSUPREM4 Models oac 2 21 50  guava EEE ees etter oe prea t er
627. ty conforming to an estimated profile  Graphical tools  such as DEVEDIT  can make  this easier  But it can   t totally eliminate the process  With the Adaptive Meshing module  you can  overcome these difficulties to a larger extent     The program uses an iterative algorithm to determine the required mesh density distribution to  accurately conform to the implanted profile  and will automatically generate the additional required  mesh  The algorithm is illustrated with the flow chart depicted in Figure 2 67              Previous Mesh    Dopant Implant    Mesh Adaption    Clear Dopant    Increase Adaptive  Meshing critera       Accurate  Implanted  Profile   Distribution     Continue       Figure 2 67  Flow Chart of Mesh Adaption Algorithm       2 86 Silvaco    Tutorial       Adaption During A Heat Cycle    During the diffusion oxidation epitaxy processes  impurity profiles are usually changing continually  with each elapsed time step  An initially generated optimal mesh will not conform to the time varying  dopant profile  If the impurity profiles change substantially during the process  the mesh density  distribution will be different from the dopant contour distribution  causing both accuracy and speed  problems     During simulation  the total time of a diffusion oxidation epitaxy process is usually divided into many  small time steps  with profiles changing gradually between time steps  Using the Adaptive Meshing  module  you can perform a mesh adaption after each time st
628. ual Pearson moments selection from the implant tables  It   s up to  you to select its value accordingly  The effect of this parameter is that it represents ion implantation  through a thin  0 50nm  surface oxide layer  The present algorithm in ATHENA when encountering a  multi layered structure  see Section 3 5 2     Multi Layer Implants      For example  oxide silicon  switches to multi material scaling technique for evaluating the depth profile  This technique will  combine two profiles     single Pearson for the oxide and dual Pearson for silicon with S OXIDE  preferably set to the thickness of the oxide        There are two reasons why this separation between the surface oxide is present in the structure before  the IMPLANT statement and the S OXIDE parameter  The first reason is because the flexibility of using  this parameter for different thin surface layers other than oxide  with appropriate scaling of their  thickness for stopping   The second reason the currently restricted availability of moments with screen  oxide in the tables  0 50nm  15 80keV and for boron only   If you need a more precise dependence of  the implantation profiles on the surface screen oxide  use a single layer of silicon with S OXIDE set to  an appropriate value           3 5 2  Multi Layer Implants    To apply any of the described analytical distribution functions for structures that are comprised from  several different material layers  use a scaling method that   s mentioned in this section
629. ucture if run under DeckBuild  For SSUPREM4  standalone operation  the TonyPlot statement is ignored  Also  under DeckBuild  the command GO  SSUPREM4 will initiate SSUPREM4 execution  This statement is ignored in standalone operation     Manual improvements and additional examples   The manual for SSUPREM4 has been refor   matted and thoroughly revised to be more readable and provide the user with more important  guidelines for effective use of SSUPREM4  The Tutorial section and Getting Started sections have  been added to provide an introduction to the use of SSUPREM4  Additional examples detail the use  of the user defined material capability  bipolar device fabrication and EEPROM device fabrication     D 19  SSUPREM4 Version 5 0    Version 5 0 of SSUPREM4 represents a new standard for 2D process simulation  SSUPREM4 Version  5 0 incorporates a number of new models and convenience features briefly described in this chapter     One dimensional mode   Version 5 0 offers a significant enhancement for speed and ease of use  by incorporating a one dimensional  1D  mode  This may be specified within a conventional two   dimensional  2D  input deck  This allows fast analyses of particular points in a 2D structure prior to  complete 2D analysis with the same input deck  The use of this feature is described in the INITIAL   IZE statement description     Analytic angled implant   The implant capabilities of SSUPREM4 have been enhanced by the  inclusion of analytic angled implant mode
630. ular feature    Z HIGH specifies the maximum z coordinate of the rectangular feature    X TRI specifies the x coordinate of the right angle corner of the triangular feature   Z TRI specifies the z coordinate of the right angle corner of the triangular feature   HEIGHT specifies the height of the right angle triangle feature    WIDTH specifies the base width of the right angle triangle feature     ROT ANGLE specifies the angle of rotation of the feature   180   lt ROT  ANGLE lt 180    with respect to  the x axis  The default value is 0    The center of rotation is at the center of the rectangle and at the  right angle corner of the triangle  respectively                          Note  You can only use the X  TRI  Z   TRI  HEIGHT  WIDTH  and ROT   ANGLE parameters for projection printing  model           X CIRCLE specifies the x coordinate of the center of the circular or ring feature   Z CIRCLE specifies the z coordinate of the center of the circular or ring feature     RADIUS specifies the radius of the circular feature or the outermost radius of the ring or multiring  feature     RINGWIDTH specifies the width of ring s  of the mask feature shaped as a single ring or a series of  concentrated rings     MULTIRING specifies that mask feature consists from series of concentered rings with ring widths  and distances between rings specified by the RINGWIDTH parameter  The number N of the rings in the  feature should satisfy the following relation 2N   RINGWIDTH lt RADIUS          
631. ulation including 2D aerial imag   ing  non planar photoresist exposure  and post exposure bake and development     1 1 1  Using This Manual    This chapter is an overview of ATHENA  For new users  read Chapter 2     Tutorial     especially the  sections that describe the simulator or modules that you have licensed  This chapter will give you a  basic understanding of what ATHENA can do and how it   s used  The remaining chapters will give you a  detailed understanding of ATHENA   s capabilities and how to access them  Appendix D     ATHENA  Version History    gives information about the current version of ATHENA     ATHENA is supplied with a number of example problem descriptions  You can access them through  DECKBUILD as described in the VWF INTERACTIVE TOOLS USER   S MANUAL  VOL 1  These examples  demonstrate the capabilities of ATHENA  The input files provided as part of these examples can  provide an excellent starting point for developing your own ATHENA input files     1 1 2  Technical Support    If you have difficulties or questions relating using ATHENA  e mail SiLvAco Support at  support silvaco com     When you send us an e mail message  please   1  Explain the problem or question in detail   2  Include any input files that you have created     3  Provide us with the version number of ATHENA and the version numbers of the VWF INTERACTIVE  TooLs that you are using     4  Include your business telephone number and fax number   SILVACO support will contact you promptl
632. umination source shape and illumination source filtering in OPTOLITH        Syntax    ILLUM FILTER   CIRCLE   SQUARE   GAUSSIAN   ANTIGAUSS   SHRINC    GAMMA  lt n gt    RADIUS  lt n gt    ANGLE  lt n gt    SIGMA  lt n gt    IN RADIUS  lt n gt    OUT RADIUS  lt n gt    PHASE  lt n gt    TRANSMIT  lt n gt    CLEAR FIL                       Description  This statement specifies the illumination source options as well as illumination source filtering     CIRCLE  SQUARE  GAUSSIAN  ANTIGAUSS  and SHRINC define or change the shape of the exit  pupil of the illumination system  SHRINC can be used to define the illumination system only  not  annular filters     GAMMA defines or changes the GAMMA value for GAUSSIAN or ANTIGAUSS source transmittance   GAMMA is a parameter that defines the truncation of the GAUSSIAN by the pupil  In the limit of  GAMMA  gt 0  the source will be uniform        RADIUS specifies the radius of a single source if you define the SHRINC illuminator concept  This  parameter must be entered in fractions of unity     ANGLE specifies the angular location for the SHRINC illuminator     SIGMA defines or changes the filling factor for the combination of the illumination and projection  systems  The value of SIGMA is expected to vary  but it will not be reset  Also  specifies the radius of a  single source if you specify the SHRINC illuminator concept  This parameter must be entered in  fractions of unity  assuming a unit pupil radius      IN RADIUS and OUT RADIUS def
633. upled from impurity diffusion for the  TWO DIM model     e A new parameter has been added to the OXIDE statement  It is called SPLIT ANGLE  It governs  the minimum angle at which the oxide will split open one more grid spacing when oxidizing at a tri   ple point  i e  where silicon  oxide  and nitride coincide together at a point   The default for the split  angle is 22 5 degrees  The SPLIT ANGLE parameter for triple point oxidation is material depen   dent  Specify the oxidizing material without a         and the second material with a         using the follow   ing format    OXIDE SPLIT ANGLE 35 SILICON  NITRIDE    There are only three possible combinations  SILICON  NITRIDE  SILICON  POLY  and POLY    NITRIDE                 e Anew parameter for scaling analytic implants has been added to the MATERIAL statement  A mul   tiplicative factor  IMPL SCALE  is specified on the MATERIAL statement along with the material  name in which the implant is to be scaled  An example format would be    MATERIAL IMP L SCALE 0 5 PHOTORESIST   This scales the implant RANGE  STD DEV  SRANGE  and SSTD DEV parameters with this factor  when they are take from the implant moments file athenaimp  This is intended to be a convenient  way to modify these tables with a constant multiplicative factor                 Monte Carlo Implant Capabilities    e Secondary recoil in Monte Carlo implantation model has been implemented  The model is invoked  by specifying REC FRAC  lt number gt  together with the 
634. ure and  then stretch the active or non active or both areas to the actual widths  This will also save a  tremendous amount of simulation time     TonyPlot   2 2 1    File vj  i View F C Plot 7    Tools 7    Print 7    Properties 7    Help 7   ATHENA  Structure before stretch                                                                   EEEF    E a a Fa Ea a  E P Fa a PP  ry    T   F  J   J   J   J  EI  EJ  EJ  EJ  EI  FJ  lJ    Pz a Ei Fa Fa a Fa Fa a Fa Fa Fa  lef i      A  a  LI  l   E  l 1  Ly  cy  cy  ct  Fa   a   A    AANT  AE  RARER         oe LS A A  aS NN A aa  AVIAN AAA  1     VV WAA AAA OAL    WANA    PRE REREE ARERR  BRRERBERER BER EE     PF   Pl  Pf   PP  PFJ  fff  rs   ra   rT   e  J  PF   PFJ  PJ  FF    ESS    VA  AAA       aa  TN       BR RERBEEES REESE    lJ  FJ  FJ  FJ  J  Pf  r   A        T   E   f   r   F                                                                                                                                                        WACO International       Figure 2 40  Using Stretch Function for a MOSFET Structure    Note  The stretch function can save a great deal of CPU time        Silvaco 2 57    ATHENA User s Manual       Using ATHENA In    1D Mode    You can increase the simulation speed by running ATHENA in 1D mode  ATHENA automatically runs  in 1D mode by default initially  The simulation will automatically converts to 2D mode when you  perform a two dimensional simulation process  such as ETCH or EXPOSE  Simple operations 
635. uring Silane Epitaxy     J  Electrochem  Soc   v   124  p  591  1977     124 G L  Vick and  M  Whittle     Solid Solubility and Diffusion Coefficients of Boron in Silicon     J   Electrochem  Soc  v  116  p  1142  1969     125 F A  Trumbore    Solid Solubilities of Impurity Elements in Germanium and Silicon     Bell System  Tech  J  v  39  p  205  1960     126 H  Park and M  Law     Point Defect Based Modeling of Low Dose Silicon Implant Damage and  Oxidation Effects on Phosphorus and Boron Diffusion in Silicon     J  of Appl  Phys  v  72  p  3481   1992    127 F J  Morin and J P  Maita     Electrical Properties of Silicon Containing Arsenic and Boron     Phys   Rev  v  96  p  28  1954     128 M  Giles     Transient Phosphorus Diffusion Below the Amorphization Threshold     J  Electrochem   Soc  v  138  p  1160  1991     129 P Gas et al    Diffusion of Sb  Ga  Ge  and As in TiSi2   J Appl Phys   v  63  p  5335  1988   130 P Gas et al    Boron  Phosporus  and Arsenic Diffusion in TiSi2   J Appl Phys   v  60  p 1634  1986     131 C M Osburn et al    The Effect of Titanium Silicide Formation on dopant Redistribution    J Electrochem  Soc   v  135  p 1490  1988     132 Chi On Chui et al      Activation and Diffusion Studies of Ion implanted p and n Dopants in  Germanium     Appl  Phys  Lett   v 83  p  3275  2003    133 8  Uppal     Diffusion of Ion implanted Boron in germanium     J  Appl  Phys   v 90  p  4293  2001        BIB 6 Silvaco    Index          A Beam Propagation Method
636. using with double negative vacancies  DVMM  0 is the  pre exponential constant  DVMM E is the activation energy        DVP 0 and DVP E specify the impurity diffusing with single positive vacancies  DVP   0O is the pre   exponential constant  DVP  E is the activation energy        DVPP 0 and DVPP E specify the impurity diffusing with double positive vacancies  DVPP 0 is the  pre exponential constant  DVPP   E is the activation energy        FI O and FI E are the fractional interstitialey parameters that determine whether the impurity  diffuses through interaction with interstitials or vacancies  Once the expression for total FI is  evaluated from these coefficients  the value of total FI can vary between 0 and 1  FI equal to 1  corresponds to a pure interstitial based diffusion  while value of 0 corresponds to a pure vacancy  mechanism              Activation Model Parameters    SOL SOLUB specifies that solid solubility model and solid solubility tables will be used for  calculation of active concentration of the specified impurity in the specified material  This is default  for all cases except Arsenic in Si and Poly     CLUSTER ACT specifies that cluster activation will be used  It is default only for AS in Si and Poly     SS CLEAR  SS TEMP  and SS CONC are the parameters for solid solubility data  SS CLEAR clears  the currently stored solid solubility data for the specified impurity in the specified material  SS   TEMP  and SS CONC add a single temperature and an associated
637. usion Model Set in the most recent METHOD statement  If you  need another METHOD statement  include it before the EPITAXY statement           Deckbuild  ATHENA Epitaxy       Display    Time Temp   Thickness   Grid   Impurities    Time temperature   Time  minutes   30  0       500  Temperature  C   900  End temperature  C   1000    Temperature rate  C min   3 333    500 e       Rate      Variable    Thickness rate     Thickness  um   2 00 0 00       10 00    Deposit rate  um min   0 0667    Grid specification          Total number of grid layers     settings       vv Grid spacing location  um   0 00    Minimum grid spacing umh Dei    Amtimony  iC  Arse nig  Boron fC  Phosphorus   Silicon  1   Zine  ie  Selealumn 1 6  Beryllium iC  Magnes iii  Aluminum 1 6  Gallias LC  Carbom  Chromium 1      Germanium fE       indium 1     Temp     Constant    OO   F 1300 Ramped      20    vv Nominal grid spacing  um   0 10 0 00  lt   jH 1 00    1 00    L  t   LG       Comment  Epi Layer        WRITE     Figure 2 32  ATHENA Epitaxy Menu          Silvaco    2 41    ATHENA User s Manual       2 5  Calibrating ATHENA for a Typical MOSFET Flow    This section of the manual provides information on which parameters should be tuned in the input file  to provide predictive simulations using a typical MOSFET process flow  We assume you are now  familiar with the mechanics of making an input file and using the correct methods and models  see  Section 2 4     Choosing Models In SSUPREM4      For example 
638. usions  anything  greater than GLOOP   EMIN  if the extrusion is a single triangle error in the boundary  The default value  is GLOOP  EMIN 130    Neither of these parameters should be less than 90   because the rectangular  edges of the simulation space would be smoothed     OXIDE EARLY  OXIDE LATE  and OXIDE REL should not normally be modified  They relate to  internal numerical mechanisms and are described here only for the sake of completeness  A node  whose spacing decreases proportionally by more than OXIDE LATE is marked for removal  Also  if any  nodes are removed  then all nodes greater than OXIDE EARLY are also removed  For earlier node  removal  fewer obtuse triangles   try OXIDE  LATE 0 3 and OXIDE  EARLY 0 1  Though not logical  it  is harmless for OXIDE EARLY to be greater than OXIDE LATE  The OXIDE REL parameter is the    percentage error in velocities for the non linear viscous model  The default is 1 0x107  that is  a 1 0  percent error   OXIDE REL can be increased for a faster solution                                                                                                              OX OBFIX specifies the cosine squared of the worst angle allowed during oxidation        FILL and PERIMETER specify which action to apply to voids that may form during oxidation  FILL  specifies that you must fill the voids with oxide materials  Default is false  PERIMETER specifies the  maximum perimeter of the voids to fill  Default is 0 2 microns                     
639. usually part of the same molecule  In chemically amplified resists  the  reaction kinetics are more complicated  The inhibitor concentration still  however  is considered to be  the key quantity for the development process     In positive tone Novolac resists  to determine the inhibitor concentration from exposure simulations   use Dill   s model as previously described  This model applies when the resist material undergoes a  transition between two chemical states during the exposure step  The actual development process is  treated as a surface limited etching process  which is dependent on the particular resist developer  chemistry and on the local concentration of the dissolution inhibitor at the surface of the resist that  has been decomposed to a degree during the exposure step  If the resist developer chemistry is held  constant  the dissolution rate is assumed to be a function of the inhibitor concentration only     The rate function r x y  is determined experimentally and usually fitted by an empirical function to  experimental development rate data as a function of the remaining PAC concentration M x y      You can use one of the following models to simulate the development process for the specific resist   developer combination     e Dill   e Kim   e Mack   e Trefonas  e Hirai    Each model assumes a specific rate function type to describe the rate inhibitor concentration relation   These models are described in the following sections     5 6 1  Dill   s Development
640. ven an HCl percentage  a look up table is used to determine an  enhancement factor for the parabolic rate constant  Figure 3 14 shows the SiO   thickness dependency    on HCl percentage        Silvaco 3 57    ATHENA User s Manual       3 3 6  Mixed Ambient Oxidation    In practice  an oxidizing ambient may be a gas mixture consisting of more than one oxidant and other  impurities  The total oxidation rate will be the combined effect of all these species  To simulate  oxidation under a multi gas ambient  SSUPREM4 simultaneously calculates the diffusion and  oxidation of several ambient gases        The capability is invoked by specifying the gas flow parameters  F 02  F H2  F H20  F N2  and  F  HCL on the DIFFUSE statement  From the gas flow  the partial pressure of each gas is calculated as        F     2n    where P  and F  are partial pressure and gas flow rate for the jt  gas respectively  and P otal 18 the total  pressure of the gas mixture  specified by the PRESSURE parameter on the DIFFUSE statement      P     J Prota    Z    priog                   If only one oxidant gas is specified in the gas flow  i e   only Os or HO with other gases   oxidation is  then modeled as previously described  Equation 3 169 determines the pressure of the oxidant gas  If  both F H2 and F O2 are specified  the reaction of Hy and Os to form H3O is assumed to occur  The    partial pressure of H O is then calculated before solving the oxidation equations     For ambients containing more than 
641. wing documentation is provided for each statement    e The statement name   e A list of all of the parameters of the statement and their type   e A description of each parameter or group of similar parameters   e An example of the correct usage of each statement  The ATHENA command language encompassed by this document describes each of the modules of  ATHENA  namely  ELITE  OPTOLITH  SSUPREM4 and their submodules  Depending on which of the    ATHENA modules have been purchased  some of the capabilities described may not be available as part  of the ATHENA installation     Note  You can print a summary of statement names and parameters by using the HELP statement     The following list classify ATHENA statements and provide their brief description and use     6 2 1  Structure and Grid Initialization Statements    These statements define the dimensions  boundary conditions  grid density  and material type of the  initial structure  Typically  only LINE and INITIALIZE statements are required    e BASE MESH specifies parameters of the base mesh used for initial grid generation    e BOUNDARY specifies which lines in a rectangular grid are exposed to gas     e INITIALIZE sets up the initial grid and specifies background doping concentrations and mate   rial type     e LINE specifies the positioning of x and y grid lines for a rectangular mesh   e REGION specifies corresponding sections of the rectangular mesh and material     6 2 2  Structure and Mesh Manipulation Statements    Th
642. y USLE EEL L cL  fc DE R EN fsck LOL  aE DES EN N   RAL ER Ea  eck E a oa fo   1 2 3 4 5 6 7 8 9 10  Gate Length  um        Click to place   P changes alignment  or drag to get leader    SILVACO International 1996          Figure 2 35  How Changing the clust fact parameter affects the threshold voltage    The second implantation parameter that can now be tuned is the lateral spread of the implant near  the surface  In ATHENA  this parameter is called LAT RATIO1 and is defined in the IMPLANT  statement  The lateral spread of the source drain and LDD dopant is responsible for the classical short  channel effect  where the threshold voltage reduces for very short channel lengths  Simply tune the  LAT RATIO1 parameter until the onset of classical short channel effects of simulated and measured  data correspond  If the LAT RATIO1 is increased  the onset of the classical short channel effect will  occur for longer gate lengths        Silvaco 2 45    ATHENA User s Manual       2 5 4  Tuning Diffusion Parameters    The final part of the threshold voltage versus gate length curve can now be used to tune the surface  recombination rate of interstitials  In ATHENA  this parameter is called KSURF 0 and is specified in  the INTERSTITIAL statement  The surface recombination of interstitials will dictate the roll off rate of  threshold voltage from its peak value  reverse short channel effect  to the long gate length value  Once  again  simply tune KSURF 0 until the long channel threshold v
643. y and resolve your problem as quickly as possible     User feedback helps further develop ATHENA  Please send your comments on the programs   suggestions for improvements  and additional feature requests to support silvaco com        Silvaco 1 1    ATHENA User   s Manual       1 2  Athena Features and Capabilities  Table 1 1 shows the features and capabilities of Athena        Table 1 1  Athena Features and Capabilities       Features    Capabilities          Bake    e Time and temperature bake specification   e Models photoresist material flow   e Models photo active compound diffusion        C Intepreter    e Allows user defined models for implant damage  Monte Carlo plasma  etching and diffusion in SiGeC                          CMP e Models Chemical Mechanical Polishing   e Hard and soft models or a combination of both   e Includes isotropical etch component   Deposition e Conformal deposition model   e Hemispherical  planetary  and conical metallization models   e Unidirectional or dual directional deposition models   e CVD model   e Surface diffusion migration effects   e Ballistic deposition models including atomistic positioning effects   e User definable models   e Default deposition machine definitions   Development e Five different photoresist development models   Diffusion e Impurity diffusion in general 2D structures including diffusion in all  material layers   e Fully coupled point defect diffusion model   e Oxidation enhanced retarded diffusion effects   e Rap
644. y of default photoresists has been extended with the inclusion of more resists and param   eters describing the new models     D 14 5  FLASH Module    e The FLASH module has been introduced with this release of ATHENA  The FLASH module provides  the ability to model gallium arsenide materials  This involves a number of changes  A partial list of  the FLASH capabilities is provided here for reference     e GaAs material is now included on the INITIALIZE and DEPOSIT statements as well as a number  of model coefficient statements     e Impurities appropriate for GaAs processing  namely  beryllium  chrome  germanium  magnesium   selenium  silicon  and zinc  have been added to a number of statements     e Ion implantation moments tables have been added that describe implant of these species into mate   rials typical of GaAs processing     e Monte Carlo ion implant capabilities have been extended to accommodate the new impurities and  GaAs material including crystal effects     e A diffusion model for impurities in GaAs has been included  This model can be accessed by specify   ing the DIFFUSE statement     D 15  ATHENA Version 1 0    e Version 1 0 incorporates a number of new models as well as convenience features  The maximum  number of grid points has been increased to 20000  Dynamic allocation of critical arrays makes this  limit practical  A slight slowdown while dynamic allocation is being performed may be observed  during execution of INITIAL statements  If the grid definiti
645. y of oxide elements and u is the oxide viscosity     The oxide viscosity is calculated from the following equation     YOUNG M     e 3 141   2  2  POISS R     where YOUNG M is Young   s modulus  which is specified in the MATERIAL statement  and POISS Ris  Poisson   s ratio  which is specified in the MATERIAL statement           The oxide flow is treated as an incompressible fluid  By doing this  it implies the density of the oxide is  constant with respect to time  Applying this fact to the mass continuity equation  the incompressibility  condition is given as    V V 0 3 142    The incompressibility condition in Equation 3 142 is implemented by allowing a slight compressibility  of the flowing oxide  Thus  Equation 3 142 is modified to give the following equation     u    The solution of Equation 3 143 at each time step gives the velocity field of the flowing oxide elements   The Compress Model is recommended for simulations of planar and non planar structures  where  stress effects play a minor role in determining the oxide shape  When stress effects are important  you  can use the Viscous oxidation model     Figure 3 10 shows a two dimensional cross section of the structure resulting from a LOCOS oxidation  using the Compress Model        Silvaco 3 47    ATHENA User s Manual       TonyPlot   2 8 18 A  Files  View    Plot  Tools    Print  Properties    Help       ATHENA  Compress Model    Materials  SiO2  Silicon  Si3N4     1  0 8  06  04  02 Midons 02 04 0 6       Figure 3 
646. y segregation between grain interior and grain boundaries     g  C  b       a   i le 3 69       i  Pseg    where Pseg is segregation coefficient and q is the rate of segregation specified as PD TAU in the    IMPURITY statement  Initial conditions for Equations 3 66 and 3 67 are determined by setting the  PD CRATIO parameter in the IMPURITY statement  This parameter specifies the initial ratio between       impurity concentration in the grain boundary cz  and total concentration Cf biy CE     The grain boundary segregation is calculated according the model suggested in  17      Q  seg LANs  kT        Silvaco 3 21    ATHENA User s Manual          where Q  is the density of segregation sites at the grain boundary specified by the PD SEGSITES  022             parameter in the IMPURITY statement  Ng  is the atomic density of crystalline silicon  2 5 10      atoms     cm    A is the entropy factor specified by the PD EFACT parameter in the IMPURITY statement  Qo is  the segregation activation energy specified by the PD SEG E parameter in the IMPURITY statement   and L  t  is the time dependent grain size calculated according to the grain growth model suggested in   16                           iz   PDGROWTH O _    _PD GROWTH E   Et    fe i kT A E i    where Lo is the initial polysilicon grain size  which should be specified by GR  SIZE parameter in the  DEPOSIT POLYSILICON statement  GR SIZE F parameter allows to have linearly graded grain size  within deposited polysilicon layer  
647. y to load mask information directly from MAsKViEws layout file for image  calculations in OPTOLITH  This capability has several advantages when comparing with old  interface through a special    section    file  The    section    file approximates an arbitrary shaped mask  features with only rectangulars  The new interface doesn t do any approximations and internally  divides mask polygons into triangles and rectangulars for exact image calculations     Considerable speeded up and improved accuracy of image calculations for big area mask layouts  when complex geometry light sources are used     Added additional standard wavelengths to the ILLUMINATION statement  KRF LASER  alias is  DUV  LINE   ARF  LASER and F2  LASER                          D 2    Silvaco    ATHENA Version History       5     Added capability to save intensity and mask information separately into the structure file after  OPTOLITH image calculations  Now  the MASK parameter in the STRUCTURE statement will save  only mask layout information  The INTENSITY parameter now saves only intensity distribution   When you specify both parameters  both mask layout and intensity will be saved           D 3  ATHENA Version 5 10 7 R Release Notes    1              Additional TSUPREM4 compatibility feature is implemented  If you set the E FIELD parameter to  FALSE in the MATERIAL statement  the electrical term will be ignored during diffusion simulation  in the specified material     Added the boolean parameter CENTER to
648. ymp  Proc   Si Front end processingphysics and  technology of dopant defect interactions lll   edited by E C Jones  K S  Jones  M D  Giles  P Stolk   J Matsuo  vol  669  no     pp  J5 6  2001     N  Cowern  G  Mannino  P  A  Stolk  F  Roozeboom  H  G  A  Huizing  J  G  M  van Berkum  F   Cristiano  A  Claverie  and M  Jaraiz   Energetics of self interstitial clusters in si   Phys  Rev  Lett    vol  82  no  22  p  4460  1999        Silvaco BIB 1    ATHENA User s Manual       21    22     23     24     25     26     27     28     29     30     31     32     33     34     35     36     37     38     39     40     41     T  J  Lenosky  B  Sadigh  S  K  Theiss  M  J  Caturla  and T  D  de la Rubia   Ab initio energetics of  boron interstitial clusters in crystalline si   Appl  Phys  Lett   vol  77  no  12  p  1834  2000     P  H  Keys  Phosphorus defect interactions during thermal annealing of ion implanted silicon  Ph d   thesis  University of Florida  2001     A  H  Gencer  Modelling and simulation of transient enhanced diusion based on interaction of point  and extended defects  Ph  d  thesis  Boston University  1999     L  Pelaz  M  Jaraiz  G  Gilmer  H  Gossmann  C  Raerty  D  Eaglesham  and J  M  Poate   B diusion  and clustering in ion implanted si  The role of b cluster precursors   Appl  Phys  Lett   vol  70  no  17   p  2285  1997     W  Orr Arienzo  R  Glang  R  F  Lever  R  K  Lewis  and F  F  Morehead   Boron diusion in silicon at  high concentrations   J  Appl  Phys 
    
Download Pdf Manuals
 
 
    
Related Search
 ATHENA  athena login  athenahealth  athenaone login  athena  athenanet  athena provider login  athenaone  athenahealth patient portal  athenahealth login  athena patient portal  athenanet login  athena uga  athena os  athena blood twins  athenahealth login provider  athena massey  athena faris baby  athena movie  athena calderone  athena cursed  athenascope  athena supply  athenahealth login portal  athenahealth provider login portal 
    
Related Contents
Manuale di installazione e funzionamento Gruppo sostitutivo  詳しくはこちら - NuForce  Manuel utilisateur Elite  KLV-S19A10  Silverstone SST-ST60F-P power supply unit  Test-Achats – Juin 2010  Huffy 211953C Fitness Equipment User Manual  取扱説明書 - ミマキエンジニアリング  ハンディタイプ比色計 C401  CD Radio Cassette- Corder    Copyright © All rights reserved. 
   Failed to retrieve file