Home

HSPICE Simulation and Analysis User Guide

image

Contents

1. VCC VCC VCC o o o 5 VCC VCC VEL mT7 o o So MP1 o MP2 MP3 DATAN BUS PEN o o gt MP11 IES MP 10 Cbus E ED T NENN lt MN3 1 MN12 o um e MP 12 evcc a 4 d Cext p PENN 2 Cpad o mre So IMP4 MN10 MN11 NEN si o lt 07 MN5 MN4 L ENB e PVCC a gt MP13 i i ENBN i Cenbn Cenb c o1MN13 RU 484 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview Figure 70 Tristate Input Output Optimization ACIC2B TRO Before Optimization ACIC2B TR1 Optimized Result TRI STATE I O OPTIMIZATION APRIL 22 2003 5 52 46 4 l ASIC2 TR1 OUT OUTBAR ASIC2 TRO e OUTBAR r Ww o VOLT LIN ro o N ul e OOO Oe ee ee curpegruttc 1 0 500 0N r REESE CCELI CCCECR ICRCCCR CCCII ce x RES D Se T reek out BN TOON 12 0N 14 0 TIME LIN 15 Un e a BJT S Parameters Optimization The following example optimizes the S parameters to match those specified for a setof measurements The DATA measured in line data statement contains these measured S parameters as a function of frequency The model parameters of the microwave transistor LBB LCC LEE TF CBE CBC RB RE RC and rS vary As a result the measured S parameters in the DATA s
2. n AR 13 X2 xa lo X17 Jo x5 Jo 34 in2 a4 T half X8 Jo 4 j L TIME LIN 9 carry in 1 xe Jo half2 3l nand x9 Jo carry out MOS Two Bit Adder Input File You can find the sample netlist for this example in the following directory Sinstalldir demo hspice apps mos2bit sp MOS I V and C V Plotting Demo 508 To diagnose a simulation or modeling problem you usually need to review the basic characteristics of the transistors You can use this demonstration template file installdirldemo hspice mos mosivcv sp or installdirldemo hspicext mos mosivcv sp for HSPICE RF with any MOS model The example shows how to easily create input files and how to display the complete graphical results The following features aid model evaluations Table 58 MOS l V and C V Plotting Demo Value Description SCALE 1u Sets the element units to microns not meters Most circuit designs use microns DCCAP Forces HSPICE or HSPICE RF to evaluate the voltage variable capacitors during a DC sweep HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files MOS I V and C V Plotting Demo Table 58 MOS l V and C V Plotting Demo Value Description node names Makes a circuit easy to understand Symbolic name contains up to 16 characters GRAPH GRAPH statements create high resolution plots To set additional cha
3. Multi jobs Edet Edit HL Simulate Configuring the HSPICE GUI for Windows Customize configurations using the Configuration menu of the Launcher as shown in Figure 124 The start up directory defaults to the value of the AVANHOME environment variable set up during HSPICE installation he input file suffix defaults to sp The output file suffix defaults to is The editor defaults to notepad exe If you change a value the Launcher updates the lt AVANHOME gt hspui cfg file The next Launcher run provides the new values HSPICE Simulation and Analysis User Guide 613 Y 2006 03 Appendix C HSPICE GUI for Windows Running Multiple Simulations Figure 124 Launcher Options Window Open Design File name Folders Cancel Network Read only List files of type Drives Design sp E c partc The Configuration Versions item lists current executables and their paths for the Launcher HSPUI HSPICE and AvanWaves Note Standard menu items such as File and Edit display on the HSPICE Win menu bar but are not available in this release The Configuration Version strings change from the main window Versions combo box You cannot change them here To associate your design sp file with the Launcher use the File gt gt Associate command in the Windows File Manager You can double click on an sp file in the File Manager window to automatically invoke the
4. DDL installdir demo hspice dal ad8bit sp eight bit A D flash converter alf155 sp characteristics of National J FET op amp alf156 sp characteristics of National J FET op amp alf157 sp characteristics of National J FET op amp alf255 sp characteristics of National J FET op amp alf347 sp characteristics of National J FET op amp alf351 sp characteristics of National wide bandwidth J FET input op amp HSPICE Simulation and Analysis User Guide 529 Chapter 19 Running Demonstration Files Demonstration Input F iles 530 File Name Description alf353 sp characteristics of National wide bandwidth dual FET input op amp alf355 sp characteristics of Motorola J FET op amp alf356 sp characteristics of Motorola J FET op amp alf357 sp characteristics of Motorola J FET op amp alf3741 sp alm101a sp alm107 sp characteristics of National op amp alm108 sp characteristics of National op amp alm108a sp characteristics of National op amp alm118 sp characteristics of National op amp alm124 sp characteristics of National low power quad op amp alm124a sp characteristics of National low power quad op amp alm158 sp characteristics of National op amp alm158a sp characteristics of National op amp alm201 sp characteristics of LM201 op amp alm201a sp characteristics of LM201 op amp alm207 sp characteristics of National op amp
5. Parameter Description RXXX Resistor element name Must begin with R followed by up to 1023 alphanumeric characters nl Positive terminal node name n2 Negative terminal node name mname Resistor model name Use this name in elements to reference a resistor model TC TC1 alias The current definition overrides the previous definition TET First order temperature coefficient for the resistor See the Passive Device Models chapter in the HSPICE Elements and Device Models Manual for temperature dependent relations Te 2 S econd order temperature coefficient for the resistor SCALE Element scale factor scales resistance and capacitance by its value Default 1 0 R Resistance value at room temperature This can be resistance a numeric value in ohms a parameter in ohms a function of any node voltages a function of branch currents any independent variables such as time hertz and temper HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements Parameter Description M Multiplier to simulate parallel resistors For example for two parallel instances of a resistor set M 22 to multiply the number of resistors by 2 Default 1 0 AC Resistance for AC analysis Default R eff DTEMP Temperature difference between the element and the circuit in degrees Celsius Default 0 0 L Resistor length in meters Default 0 0 if you did not specify L in a resistor model W Resis
6. Using NOISE for Small Signal Noise Analysis 000 Using SAMPLE for Noise Folding Analysis 0 0005 Linear Network Parameter Analysis 0 0 00 eerie LIN Analysis Identifying Ports with the Port Element a Using the P Port Element for Mixed Mode Measurement LIN Input Syntax LIN Output S yntax PRINT and PROBE Statements esses Hybrid Parameter Calculations 0 0 ccc eee Multi Port Scattering S Parameters cc cece ees Two Port Transfer and Noise Calculations 0c cee eens Equivalent Input Noise Voltage and Current 0000 Equivalent Noise Resistance and Conductance Noise Correlation Impedance and Admittance 005 Optimum Matching for Noise 0 0 cee Noise Figure and Minimum Noise Figure 00 Associated Gain Output Format for Group Delay in sc Files 0 00 Output Format for Two Port Noise Parameters in sc Files Noise Parameters Hybrid H Parameters mh Group Delay RF Measurements From LIN raii eerie taot ten a ee nn Impedance Characterizations 0 cece eee Stability Measurements 00 0 eee Gain Measurements Matching for Optimal Gain 0 a Noise Measurements 343 343 343 344 346 348 349 349 350 351 351 352 356 356 357 357 359 360 361 361 3
7. ABS 1 pO pl lt IC val gt In this syntax dim dimensions lt 3 Piecewise Linear PWL Fxxx n n CCCS PWL 1 vnl DELTA val lt SCALE val gt lt TCl val gt lt TC2 val gt M val x1 y1 x100 y100 lt IC val gt Multi Input Gates Fxxx n n CCCS gatetype k vnl vnk lt DELTA val gt SCALE val lt TCl val gt TC2 val M val lt ABS 1 gt xl yl x100 y100 lt IC val gt In this syntax gatetype k can be AND NAND OR or NOR gates 180 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Current Dependent Current Sources F Elements Delay Element Note G elements with algebraics make F elements obsolete You can still use F elements for backward compatibility with existing designs Fxxx n n lt CCCS gt DELAY vnl TD val SCALE val lt TCl val gt lt TC2 val gt NPDELAY val F Element Parameters The F Element parameters are described in the following list Parameter Description ABS Output is an absolute value if ABS 1 CCCS Keyword for current controlled current source CCCS is a HSPICE reserved keyword do not use it as a node name DELAY Keyword for the delay element Same as for a current controlled current source but has an associated propagation delay TD Adjusts the propagation delay in the macro model subcircuit process DELAY is a reserved word do not use itas a node name DELTA Controls the curv
8. Scale Factor Prefix Symbol Multiplying Factor T tera T le 12 G giga G le 9 MEG orX mega M le 6 K kilo k le 3 M milli m le 3 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Guidelines Table 5 Scale Factors Continued Scale Factor Prefix Symbol Multiplying Factor U micro T le 6 N nano n le 9 p pico p le 12 F femto f le 15 A atto a le 18 Note Scale factor A is nota scale factor in a character string that contains amps For example HSPICE interprets the 20amps string as 20e 18mps 20 l8mps but it correctly interprets 20amps as 20 amperes of current not as 20e 18mps 20 185mps Numbers can use exponential format or engineering key letter format but not both 1e 12 or 1p but not le 6u To designate exponents use D or E The oPTION EXPMAX limits the exponent size Trailing alphabetic characters are interpreted as units comments Units comments are not checked The OPTION INGOLD controls the format of numbers in printouts The OPTION NUMDGT x controls the listing printout accuracy The OPTION MEASDGT x controls the measure file printout accuracy The OPTION VFLOOR x specifies the smallest voltage for which HSPICE or HSPICE RF prints the value Smaller voltages print as 0 HSPICE 8 Simulation and Analysis User Guide 35 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Guidelines 36 Parame
9. Y Find license file in LM LICENSE FILE Get FLEXIm license token Y Read meta cfg or Read lt installdir gt meta cfg MP Read input file demo sp Open temp files in tmpdir Open output file Read hspice ini file Y Read INCLUDE statement files Read LIB Read implicit include inc files Y Read ic file optional Find operating point Write ic file optional Y Open measure data files mtO Initialize outer loop sweep Setanalysis temperature Y Open graph data file trO Perform analysis sweep Y Process library delete add Process parameter and topology changes Close all files Release all tokens 10 HSPICE Simulation and Analysis User Guide Y 2006 03 2 Setup and Simulation Describes the environment variables standard I O files invocation commands and simulation modes For descriptions of individual HSPICE commands mentioned in this chapter see the HSPICE Command Reference Setting Environment Variables Before using HSPICE you need to set these environment variables LM LICENSE FILE Specifies the path to the license file required META OQUEUE Enables HSPICE licenses to be queued tmpdir UNIX TEMP or TMP Windows Allows you to control the location of the temporary files Setting License Variables HSPICE or HSPICE RF requires you to set the LM LICENSE FILE e
10. tot_iter 186 tot_iter 338 tot_iter 495 tot_iter 668 tot_iter 841 tot_iter 983 HSPICE Simulation and Analysis User Guide conv_iter 54 conv_iter 98 conv_iter 145 conv_iter 198 conv_iter 248 conv_iter 289 01850E 07 01776E 07 04268E 07 00000 E 06 tran tran2 end tran time 7 tran time 8 tran time 9 tran time 1 sweep parameter dcop begin dcop dcop end dcop cpu clock 3 72E 00 memory 155 kb tot_iter 17 output example mt0 sweep tran tran3 begin stop_t 1 00E 06 sweeps 101 cpu clock 3 72E 00 tran time 1 00313E 07 tot_iter 143 conv_iter 42 tran time 2 01211E 07 tot_iter 340 conv_iter 100 tran time 3 01801E 07 tot_iter 539 conv_iter 156 tran time 4 02192E 07 tot_iter 729 conv_iter 211 tran time 5 01997E 07 tot_iter 917 conv_iter 265 tran time 6 01801E 07 tot_iter 1088 conv_iter 314 tran time 7 01801E 07 tot_iter 1221 conv_iter 351 tran time 8 01801E 07 tot_iter 1362 conv_iter 392 tran time 9 02387E 07 tot_iter 1515 conv_iter 435 tran time 1 00000E 06 tot_iter 1674 conv iter 479 sweep tran tran3 end cpu clock 4 57E 00 memory 155 kb parameter analog voltage 4 00E 00 dcop begin dcop cpu clock 4 57E 00 output example mt0 sweep tran tran4 begin stop_t 1 00E 06 sweeps 101 cpu clock 4 58E 00 tran time 1 00110E 07 tot iter 236 conv iter 70 tran time 2 04376E 07 tot iter 475 conv iter 139 tran time 3 07892E 07 tot iter 767 conv iter 221 tran time 4 01056E 07 t
11. 0 0 cee Sim ulation Speed oec e tots WE Xu qwe QUE Ed ERA Simulation Accuracy ies niae iaaea a E aaia ees Timestep Control for ACCUTaCY sasaaa Models and ACOWACY o a Sn e E e aaa Cia Re hcm Ent ees Guidelines for Choosing Accuracy Options 00 Numerical Integration Algorithm Controls 0 0 0 0 cece eee Gear and Trapezoidal Algorithms 0 0 esee Numerical Integration Algorithm Controls HSPICE RF 000 Selecting Timestep Control Algorithms 0 ccc eee ees Iteration Count Dynamic Timestep isis Local Truncation Error Dynamic Timestep 0 0 0 cee eee DVDT Dynamic Timestep lisse sese e Timestep Controls in HSPICE 0 0 eee Effect of TSTEP on Timestep Size Selection Timestep Controls in HSPICE RF 2 ee ec ee Fourier Analysis Accuracy and DELMAX saana a Fourier Equation 313 315 315 316 317 318 320 321 322 322 323 323 324 325 326 327 327 327 328 329 329 330 330 333 333 334 334 335 336 337 338 338 340 340 xiii Contents xiv 10 AC Sweep and Small Signal Analysis 00005 11 Using the AC Statement AC Control Options AC Small Signal Analysis AC Analysis ofan RC Network 00 0 0 cece a Other AC Analysis Statements 0 cet ee Using DISTO for Small Signal Distortion Analysis
12. 0 5 478 6763M 0 6 496 4858M 0 7 537 8452M 0 8 555 6659M 0 10 5 0000 0 11 234 3306M VOLTAGE SOURCES SUBCKT ELEMENT 0 VNCE 0 VN7 0 VPCE 0 VP7 VOLTS 0 5 00000 0 5 00000 AMPS 2 07407U 405 41294P 2 07407U 405 41294P POWER 0 2 02706N 0 2 02706N TOTAL VOLTAGE SOURCE POWER DISSIPATION 4 0541 N WATTS BIPOLAR JUNCTION TRANSISTORS SUBCKT ELEMENT 0 QN1 0 QN2 0 QN3 0 QNA Note HSPICE RF does not support qn element charge output MODEL 0 N1 0 N1 0 N1 0 N1 IB 999 99912N 2 00000U 5 00000U 10 00000U IC 987 65345N 1 97530U 4 93827U 9 87654U VBE 437 32588M 455 13437M 478 67632M 496 48580M VCE 437 32588M 17 80849M 23 54195M 17 80948M VBC 437 32588M 455 13437M 478 67632M 496 48580M VS O Qu Qh 0 POWER 5 39908N 875 09107N 2 27712U 4 78896U BETAD 987 65432M 987 65432M 987 65432M 987 65432M GM 0 0 0 0 RPI 2 08110E 06 1 0405E 06 416 20796K 208 10396K RX 250 00000M 250 00000M 250 00000M 250 00000M RO 2 0810E 06 1 0405E 06 416 20796K 208 10396K CPI 1 43092N 1 44033N 1 45279N 1 46225N CMU 954 16927P 960 66843P 969 64689P 977 06866P CCS 800 00000P 800 00000P 800 00000P 800 00000P BETAAC 0 0 0 0 FT 0 0 0 0 Element Statement IC Parameter Use the element statement parameter 1C lt va1 gt to set DC terminal voltages for selected active devices HSPICE uses the value set in 1C val as the DC operating point value in the DC solution m HSPICE RF does not support this option so IC is always set
13. Voltage controlled current source or G element Voltage dependent Voltage Sources E Elements This section explains E Element syntax statements and defines their parameters See also Using G and E Elements in the HSPICE Applications Manual LEVEL 1 is an OpAmp LEVEL 2 is a Transformer HSPICE amp Simulation and Analysis User Guide 165 Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements 166 Voltage Controlled Voltage Source VCVS Linear Exxx n n VCVS in in gain MAX val lt MIN val gt SCALE val lt TCl val gt TC2 val ABS 1 lt IC val gt For a description of these parameters see E Element Parameters on page 173 Polynomial POLY EXXX n n lt VCVS gt POLY NDIM inl ini inndim inndim lt TCl val gt lt TC2 val gt lt SCALE val gt MAX val MIN val lt ABS 1 gt p0 pl lt IC val gt In this syntax dim dimensions lt 3 For a description of these parameters see E Element Parameters on page 173 Piecewise Linear PWL EXXX n n lt VCVS gt PWL 1 in in lt DELTA val gt SCALE val lt TCl val gt TC2 val x1 y1 x2 y2 x100 y100 lt IC val gt For a description of these parameters see E Element Parameters on page 173 Multi Input Gates Exxx n n lt VCVS gt gatetype k inl inl inj inj lt DELTA val gt lt TCl val gt lt TC2 val gt lt SCALE val gt xl y1
14. m The output resistance is 10 ohm The output from the LFSR is 1000010101110110001111100110100 Example 2 The following example shows the pattern source connected between node 1 and node 0 PARAM td1 2 5m tri 2n vin 1 0 LFSR 2 4 td1 tril 1n 6meg 2 10 5 3 2 Where The output low voltage is 2 v and the output high voltage is 4 v The delay is 2 5 ms The rise time is 2 ns and the fall time is 1 ns The bitrate is 6meg bits s The seed is 2 Thetaps are 10 5 3 2 The output resistance is 0 ohm HSPICE Simulation and Analysis User Guide Y 2006 03 HSPICE 8 Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Example 3 This example is based on demonstration netlist prbs sp which is available in directory lt installdir gt demo hspice sources prbs sp OPTION POST TRAN 0 5n 50u V1 1 0 LFSR 0 1 1u in 1n 10meg 1 R1 1 0 1 END 5 2 rout 10 Linear Feedback Shift Register A LFSR consists of several simple shift registers in which a binary weighted modulo 2 sum of the taps is fed back to the input The modulo 2 sum of two1 bit binary numbers yields 0 if the two numbers are identical and 1 if the differ is 04020 0 121 or 141 0 Figure 22 LFSR Diagram AS M TN p ee Ss 4 g 0 g 1 g 2 g m 1 g m D n npu D n 2 D n 2 D 2 D 1 pk For any
15. refout Ground reference for the output signal mname Model reference name for the U model lossy transmission line L P hysical length of the transmission line in units of meters LUMPS Number of lumped parameter sections used to simulate the element In this syntax the number of ports on a single transmission line is limited to five in and five out One input and output port the ground references a model reference and a length are all required Example 1 The U1 transmission line connects the in node to the out node Ul in gnd out gnd umodel RG58 L 5 Both signal references are grounded umodel RG58 references the U model The transmission line is 5 meters long Example 2 The Ucable transmission line connects the inl and in2 input nodes to the outl and out2 output nodes Ucable inl in2 gnd outil out2 gnd twistpr L 10 HSPICE 8 Simulation and Analysis User Guide 109 Y 2006 03 Chapter 4 Elements Transmission Lines 110 Both signal references are grounded m twistpr references the U model The transmission line is 10 meters long Example 3 The Unetl element is a five conductor lossy transmission line Unet1 il i2 i3 i4 i5 gnd o1 gnd o3 gnd o5 gnd Umodell L 1m Theil i2 i3 i4 and i5 input nodes connect to the 01 03 and 05 output nodes The i5 input and the three outputs 01 03 and 05 are all grounded Umodell references the U model The transmission line is 1 millimeter long Fre
16. x100 y100 lt IC val gt In this syntax gatetype k can be AND NAND OR or NOR gates Fora description of these parameters see E Element Parameters on page 173 Delay Element Exxx n n VCVS DELAY in in TD val lt SCALE val gt lt TCl val gt lt TC2 val gt lt NPDELAY val gt For a description of these parameters see E Element Parameters on page 173 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements Laplace Transform Voltage Gain H s EXXX n n LAPLACE in in k0O kl kn d0 dl dm SCALE val lt TCl val gt lt TC2 val gt For a description of these parameters see E Element Parameters on page 173 Transconductance H s GXXX n n LAPLACE in in k0 k1 kn d0 dl dm SCALE val lt TCl val gt TC2 val lt M val gt H s is a rational function in the following form H s ko kis k s dg dis d s You can use parameters to define the values of all coefficients kg kj do dj For a description of the G Element parameters see G Element Parameters on page 189 Example Glowpass 0 out LAPLACE in O0 1 0 1 0 2 0 2 0 1 0 Ehipass out 0 LAPLACE in 0 0 0 0 0 0 0 1 0 1 0 2 0 2 0 1 0 The Glowpass element statement describes a third order low pass filter with the transfer function 1 H s s 1 25 252 453 The E
17. AC DEC 1 20MEG 20G LIN noisecalc 1 Pout outs gnd port 2 RDC 50 RAC 50 DC 0 AC 1 0 Pin ins gnd port 1 RDC 50 RAC 50 DC 0 5 AC 0 5 0 xlna 2 ins outs lna HSPICE 8 Simulation and Analysis User Guide 381 Y 2006 03 Chapter 11 Linear Network Parameter Analysis NET Parameter Analysis Subckt lna in out rhspr5 in n481 50 rhspr6 n523 out 100 vvdd n523 gnd dc 1 8 qhspnpn3 out n481 gnd gnd bjtml area 3 ends lna global gnd END NET Parameter Analysis HSPICE or HSPICE RF uses the AC analysis results to perform network analysis The NET statement defines Z Y H and S parameters to calculate The following list shows various combinations of the NET statement for network matrices that HSPICE or HSPICE RF calculates NET Vout Isrc V Z I NET Iout Vsrc T x Y V NET Iout Isrc V1 I2 T H I1 yo NET Vout Vsrc I1 v2 sS V1 I2 T M T represents the transpose of the M matrix Note The preceding list does not mean that you must use combination 1 to calculate Z parameters However if you specify NET Vout Isrc HSPICE or HSPICE RF initially evaluates the Z matrix parameters It then uses standard conversion equations to determine S parameters or any other requested parameters Figure 57 shows the importance of variables in the NET statement Here Isrc and Vce are the DC biases applied to the BJ T 382 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Line
18. Chapter 12 Using Verilog A Getting Started Getting Started 394 This section explains how to get started using a compact device model written in Verilog A in HSPICE Figure 61 HSPICE and Verilog A Simple Verilog A amplifier hd1 amp va VS rs x1 2 3 va amp gain 10 rl 3 0 1 0 e 2 EST Verilog A devices use the following conventions modules are loaded into the simulator with either the HDL netlist command or the hd1 command line option not supported in HSPICE RF modules are instantiated in the same manner as HSPICE subcircuits The first character for the name of instance should be X instance and model parameters can be modified in the same way as other HSPICE instances module names should not conflict with any HSPICE built in device keyword see Using Model Cards with Verilog A Modules on page 410 If this happens HSPICE issues a warning message and ignores the Verilog A module definition node voltages and branch currents can be output using conventional output commands To run an HSPICE Verilog A simulation you need to run the hspice script which is located in the lt installdir gt hspice_2006 03 bin hspice regardless of the platform For example installed hspice hspice 2006 03 bin hspice The following example illustrates how a compact device model written in Verilog A can be analyzed with HSPICE Example JFET
19. HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Reducing DC Errors 3 General remarks Ideal current sources require large values of OPTION GRAMP especially for BJ T and MESFET circuits Such circuits do not ramp up with the supply voltages and can force reverse bias conditions leading to excessive nodal voltages Schmitt triggers are unpredictable for DC sweep analysis and sometimes for operating points forthe same reasons that oscillators and flip flops are unpredictable Use slow transient Large circuits tend to have more convergence problems because they have a higher probability of uncovering a modeling problem Circuits that converge individually but fail wnen combined are almost guaranteed to have a modeling problem Open loop op amps have high gain which can lead to difficulties in converging Start op amps in unity gain configuration and open them up in transient analysis using a voltage variable resistor or a resistor with a large AC value for AC analysis 4 Check your options Remove all convergence related options and try first with no Special OPTION settings Check non convergence diagnostic tables for non convergent nodes Look up non convergent nodes in the circuit schematic They are usually latches Schmitt triggers or oscillating nodes For stubborn convergence failures bypass DC all together and use TRAN with UIC set Cont
20. IC Initial condition Initial estimate of the value s of controlling voltage s If you do not specify IC the default 0 0 in Positive or negative controlling nodes Specify one pair for each dimension M Number of replications of the elements in parallel MAX Maximum value of the current or resistance The default is undefined and sets no maximum value MIN Minimum value of the current or resistance The default is undefined and sets no minimum value n Positive or negative node of the controlled element NDIM Number of polynomial dimensions If you do not specify POLY NDIM HSPICE assumes a one dimensional polynomial NDIM must be a positive number HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage Dependent Current Sources G Elements Parameter Description NPDELAY NPWL PO PI POLY PWL PPWL SCALE SMOOTH Sets the number of data points to use in delay simulations The default value is the larger of either 10 or the smaller of TD tstep and tstop tstep That is NPDELAY sui max TD step 10 tstep The TRAN statement specifies the tstep and tstop values Models symmetrical bidirectional switch transfer gate NMOS The polynomial coefficients If you specify one coefficient HSPICE orHSPICE RF assumes that itis P 1 PO 0 0 and the element is linear If you specify more than one polynomial coefficient the element is non l
21. L Cl 0 001 mF The netlist for this RC network is based on demonstration netlist quickAC sp which is available in directory lt installdir gt demo hspice apps AS IMPLE AC RUN OPTION LIST NODE POST OP AC PR V1 R1 DEC 10 1K 1MEG INT AC V 1 V 2 I R2 I C1 1 0 10 AC 1 1 2 1K R2 2 0 1K C1 2 0 001U END HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 10 AC Sweep and Small Signal Analysis AC Analysis of an RC Network Follow the procedure below to perform AC analysis for an RC network circuit 1 Type the above netlist into a file named quickAC sp 2 To runa HSPICE analysis type 6 hspice quickAC sp gt quickAC lis For HSPICE RF type hspicext quickAC sp quickAC lis When the run finishes HSPICE displays gt info hspice job concluded This is followed by a line thatshows the amount of real time user time and system time needed for the analysis Your run directory includes the following new files e quickAC acO e quickAC icO e QuickAC lis e QuickAC stO Use an editor to view the is and stOfiles to examine the simulation results and status Run AvanWaves and open the sp file To view the waveform select the quickAC acO file from the Results Browser window Display the voltage at node 2 by using a log scale on the x axis Figure 52 on page 348 shows the waveform that HSPICE or HSPICE RF
22. PIRI RCOPT TR1 PIRI i minem 0 L3 0 200 0N 400 0N 600 0N 800 0N 1 0 TIME LIN Optimizing CMOS Tristate Buffer The example circuit is an inverting CMOS tristate buffer The design targets are Rising edge delay of 5 ns input 5096 voltage to output 5096 voltage Falling edge delay of 5 ns input 5096 voltage to output 5096 voltage RMS power dissipation should be as low as possible Output load consists of e pad capacitance e leadframe inductance e 50 pF capacitive load The HSPICE strategy is Simultaneously optimize both the rising and falling delay buffer Set up the internal power supplies and the tristate enable as global nodes HSPICE Simulation and Analysis User Guide 481 Y 2006 03 Chapter 17 Optimization Overview 482 Optimize all device widths except Initial inverter assumed to be standard size e Tristate inverter and part of the tristate control optimizing is not sensitive to this path Perform an initial transientanalysis for plotting purposes Then optimize and perform a final transient analysis for plotting To use a weighted RMS power measure specify unrealistically low power goals Then use MINVAL to attenuate the error Input Netlist File to Optimize a CMOS Tristate Buffer This example is based on demonstration netlist trist_buf_opt sp which is available in directory lt installdir gt demo hspice apps Tri State input output Optimization OPTION nomod
23. S ome uses of algebraic expressions are Parameters PARAM x y 3 Functions PARAM rho leff weff 2 2 leff weff 2u Algebra in elements R1 1 0 r ABS v 1 i m1 410 Algebra in MEASURE statements MEAS vmax MAX V 1 MEAS imax MAX I q2 MEAS ivmax PARAM vmax imax Algebra in output statements PRINT conductance PAR i m1 v 22 The basic syntax for using algebraic expressions for output is PAR algebraic expression In addition to using quotations you must define the expression inside the PAR statement for output The continuation character for quoted parameter strings in HSPICE is a double backslash X Outside of quoted strings the single backslash is the continuation character HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 6 Parameters and Functions Built In Functions and Variables Built In Functions and Variables In addition to simple arithmetic operations you can use the built in functions listed in Table 18 and the variables listed in Table 17 on page 225 in HSPICE expressions Table 18 Synopsys HSPICE Built in Functions HSPICE Form Function Class Description sin x sine trig Returns the sine of x radians cos x cosine trig Returns the cosine of x radians tan x tangent trig Returns the tangent of x radians asin x arc sine trig Returns the inverse sine of x radians acos x arc cosine _ t
24. Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions In the first source Amplitude is 10 Offset constant is 1 Carrier frequency is 1 kHz Modulation frequency of 100 Hz Delay is 1 millisecond In the second source only the amplitude and offset constant differ from the first source Amplitude is 2 5 Offset constant is 4 Carrier frequency is 1 kHz Modulation frequency of 100 Hz Delay is 1 millisecond The third source exchanges the carrier and modulation frequencies compared to the first source Amplitude is 10 Offset constant is 1 Carrier frequency is 100 Hz Modulation frequency of 1 kHz Delay is 1 millisecond HSPICE 8 Simulation and Analysis User Guide 147 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Figure 21 Amplitude Modulation Plot 00 0 002 0 004 0 006 0 008 0 01 0012 0014 0016 0018 0 02 s Pattern Source HSPICE or HSPICE RF provides a pattern source function in an independent voltage or current source The pattern source function uses four states 1 0 m and z which represent the high low middle voltage or current and high impedance state respectively The series of these four states is called a b string Syntax Vxxx n n PAT vhi vlo td tr tf tsample data lt RB val gt R repeat lt gt Ixxx n n PAT vhi vlo td tr tf tsample data
25. The four terminals are named nd pc nd gc nd in and nd out of in The IBIS model named IBIS IN is located in the IBIS file named test ibs Note HSPICE or HSPICE RF connects the nd pc and nd gc nodes to the voltage sources Do not manually connect these nodes to voltage sources For more examples see the Modeling Input Output Buffers Using IBIS chapter in the HSPICE Signal Integrity User Guide HSPICE Simulation and Analysis User Guide Y 2006 03 2 Sources and Stimuli Describes element and model statements for independent sources dependent sources analog to digital elements and digital to analog elements This chapter also explains each type of element and model statement and provides explicit formulas and examples to show how various combinations of parameters affect the simulation Independent Source Elements Use independent source element statements to specify DC AC transient and mixed independent voltage and current sources Depending on the analysis performed the associated analysis sources are used The value of the DC source is overriden by the zero time value of the transient source when a transient operating point is calculated Source Element Conventions You do not need to ground voltage sources HSPICE or HSPICE RF assumes that positive current flows from the positive node through the source to the negative node A positive current source forces current to flow out of the n node through th
26. chapter in the HSPICE Elements and Device Models Manual the capacitance value is optional If you use an equation to specify capacitance the CTYPE parameter determines how HSPICE calculates the capacitance charge The calculation is different depending on whether the equation uses a self referential voltage that is the voltage across the capacitor whose capacitance is determined by the equation To avoid syntax conflicts if a capacitor model has the same name as a capacitance parameter HSPICE or HSPICE RF uses the model name Example 1 In the following example C1 assumes its capacitance value from the model not the parameter PARAMETER CAPXX 1 C1 12 CAPXX MODEL CAPXX C CAP 1 Example 2 In the following example the C1 capacitors connect from node 1 to node 2 with a capacitance of 20 picofarads C1 1 2 20p In this next example Cshunt refers to three capacitors in parallel connected from the node output to ground each with a capacitance of 100 femtofarads Cshunt output gnd C 100f M 3 The Cload capacitor connects from the driver node to the output node The capacitance is determined by the voltage on the capcontrol node times 1E 6 The initial voltage across the capacitor is O volts Cload driver output C 1u v capcontrol CTYPE 1 IC 0v The C99 capacitor connects from the in node to the out node The capacitance is determined by the polynomial C c0 C1 v c2 v v where v is the voltage across the capaci
27. resistor R1 is limited to 1e 5 and interprets the line as R1 2 1 withouta defined value Ri 2 1 dk The period is reserved for use as a separator between a subcircuit name and a node name subcircuitName nodeName If a node name contains a period the node will be considered a top level node unless there is a valid match to a subcircuit name and node name in the hierarchy The sorting order for operating point nodes is a z l 55 Tap y Using Wildcards on Node Names You can use wildcards to match node names wildcard matches any single character For example 9 matches 92 9a 9A and 9 m wildcard matches any string of zero or more characters For example e fyournetlist includes a resistor named r1 and a voltage source named vin then PRINT i prints the current for both of these elements i r1 and i vin e And PRINT v o prints the voltages for all nodes whose names start With o if your netlist contains nodes named in and out this example prints only the v out voltage m matches any character tht appears within the brackets For example 123 matches 1 2 or 3 A hyphen inside the brackets indicates a character range For example 0 9 is the same as 0123456789 and matches any digit For example the following prints the results of a transient analysis for the voltage at the matched node name PRINT TRAN V 9 t u HSPICE 8 Simulation and Analysis User Guide 45 Y 2
28. 0005 Group Delay Handler in Time Domain Analysis 60 61 62 63 65 65 65 66 68 69 70 71 71 74 75 76 76 77 78 81 83 85 86 87 88 91 91 93 95 97 100 100 101 105 107 109 110 116 116 Contents Preconditioning S Parameters llis 117 IBIS B ffels fr ata itu RM RED UISSS E EEr EE IE EEEO NENTE RA 118 5 Sources and Stimuli 0 0 0 0 119 Independent Source Elements 0 cece cece eee eens 119 Source Element Conventions 119 Independent Source Element 0 0 cece eect ee ens 120 DC Sources osuen ier bedatwe dds beer ae hare Seas eae eens 123 AC SOURCES et fcr tun bb tc piu tots lod eo hae tod s e c ir Ac S 123 Transient S OUICeS ien rho de peret dee e boi bee 124 Mixed Sources iatea neiaie a m rn 124 Port Element eric er ERES IAEA 125 Independent Source Functions saasaa aaea nn 129 Trapezoidal Pulse Source 2 cect 129 Sinusoidal Source Function sseee ee 133 Exponential Source Function ssassn ees 136 Piecewise Linear Source 1 cette teens 139 General FORM s d 2 2 ether t esteso tme Oe tiere eee S s 139 MSINC and ASPEC Form 0 ec nnn 139 Data Driven Piecewise Linear Source ccc ees 142 Single Frequency FM Source ce ccc eee teens 143 Single Frequency AM Source cece cet 145 Pattern Source wine sce dai a e Eee dee 148 Pseudo Random Bit Generator Source sasas llle ess 153 Linear Feedback Shift Register 0
29. 29 18 29 18 1 xi82 mn1 29 13 58 31 1 xi82 mn2 21 18 79 50 2 xi82 mp4 20 49 99 98 2 xi182 mp3 14 03m 100 00 0 xi82 mp5 984 38u 100 00 0 xi82 mn7 144 37u 100 00 0 xi82 mn6 0 100 00 0 xi82 mn8 Output From PROBE and MEASURE Commands The different results produced by DCmatch analysis can be saved by using PROBE and MEASURE commands for the output variable specified on the DCMATCH command If multiple output variables are specified a result is produced for the last one only A DC sweep needs to be specified to produce these kinds of outputs a single point is enough The keywords available for saving specific results from DCmatch analysis are DCm total Output sigma due to global and local variations DCm global Output sigma due to global variations DCm global par Contribution of parameter par to output sigma due to global variations DCm local Output sigma due to local variations DCm local dev Contribution of device dev to output sigma due to local variations Syntax for PROBE Command A PROBE statement in conjunction with OPTION POST creates a data file with waveforms that can be displayed in CosmosS cope PROBE DC PROBE DC PROBE DC PROBE DC PROBE DC DCm total DCm global DCm local DCm global ModelType ModelName ParameterName DCm local InstanceName HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 16 DC Mismatch Analysis DCmatch Analysis
30. AC analysis of bipolar transistors is based on the small signal equivalent circuit as described in the HSPICE Elements and Device Models Manual MOSFET AC equivalent circuit models are described in the HSPICE Elements and Device Models Manual The AC analysis statement can sweep values for Frequency Element Temperature Model parameter HSPICE and HSPICE RF Randomized Monte Carlo distribution HSPICE only not supported in HSPICE RF Optimization and AC analysis HSPICE or HSPICE RF Additionally as part of the small signal analysis tools HSPICE or HSPICE RF provides Noise analysis Distortion analysis m Network analysis Sampling noise You can use the Ac statement in several different formats depending on the application You can also use the AC statement to perform data driven analysis in HSPICE but not in HSPICE RF HSPICE 8 Simulation and Analysis User Guide 345 Y 2006 03 Chapter 10 AC Sweep and Small Signal Analysis AC Analysis of an RC Network AC Analysis of an RC Network 346 Figure 51 on page 346 shows a simple RC network with a DC and AC source applied The circuit consists of Two resistors R1 and R2 Capacitor Cl Voltage source V1 Node 1 is the connection between the source positive terminal and R 1 Node 2 is where R1 R2 and C1 are connected HSPICE ground is always node 0 Figure 51 RC Network Circuit V1 n 10 VDC 2 1VAC E k
31. For CONVOLUTION 2 mode HSPICE uses this value as the base frequency point for Inverse Fourier Transformation For recursive convolution the default value is OHz For linear convolution HSPICE uses the reciprocal of the transient period FMAX Specifies the possible maximum frequency of interest The default value is the frequency point where the function reaches close enough to infinity value assuming that the monotonous function is approaching the infinity value and that it is taken at 10THz Example L1 1 2 L 0 5n 0 5n 1 HERTZ 1e8 CONVOLUTION 1 fbase 10 fmax 30meg AC Choke Inductors Syntax Lxxx nodel node2 lt L gt INFINITY IC val When the inductance of an inductor is infinity this element is called an AC choke In HSPICE you specify an INFINITY value for inductors HSPICE does not support any other inductor parameters because it assumes that the infinite inductance value is independent of temperature and scaling factors The AC choke acts as a short circuit for all DC analyses and HSPICE calculates the DC current through the inductor In all other non DC analyses a DC current source of this value represents the choke HSPICE does not allow di dt variations To properly simulate power line inductors with HSPICE RF either set them to analog mode or invoke the SIM_RATL option OPTION SIM ANALOG L1 Of OPTION SIM RAIL ON HSPICE 8 Simulation and Analysis User Guide 87 Y 2006 03 Ch
32. KKEKKKKKKKKKKKKKKKKK KKK KKK KKK KKK ck ck ck ck ck ck ck ck ck ck ck ck k kk kk kkk k E element for AC analysis option post Op CASE1 Mixed and zero time unit has zero value tran v n1 nl gnd dc 6 0 pwl 0 0 6 0 1 0n 6 0 ac 5 0 v n2 n2 gnd dc 4 0 pwl 0 0 4 0 1 0n 6 0 ac 2 0 el n3 gnd vol v nl1 v n2 e2 n4 gnd vol v n1 v n2 ri n1 gnd 1 r2 n2 gnd 1 r3 n3 gnd 1 r4 n4 gnd 1 tran 10p 3n ac dec 1 1 100meg print ac v n end KEKE KK KKK ckockockck ck ckockock ck ck kockock ck kockockock ck ko kockock ck ck ckock ck ck kockock ck ck ck ck ck k ck ck ck kk The AC voltage of node n3 is v n3 1 0 v n1 ac 1 0 v n2 ac 130 5 0 1 0 2 0 7 0 v HSPICE 8 Simulation and Analysis User Guide 179 Y 2006 03 Chapter 5 Sources and Stimuli Current Dependent Current Sources F Elements The AC voltage of node n4 is op v n2 ac 0 a 1 4 0 i 5 0 6 0 2 32 0 v v n4 v n2 op v n1 ac v n Current Dependent Current Sources F Elements This section explains the F element syntax and parameters Current Controlled Current Source CCCS Syntax Linear FXXX n n CCCS vnl gain lt MAX val gt MIN val lt SCALE val gt lt TCl val gt TC2 val M val lt ABS 1 gt lt IC val gt Polynomial POLY FXXX n n CCCS POLY ndim vnl lt vnndim gt lt MAX val gt MIN val lt TCl val gt TC2 val SCALE val lt M val gt
33. Note In the following example you can substitute PROBE PLOT Or GRAPH instead of PRINT HSPICE RF does notsupport PLOT Or GRAPH Example 1 GLOBAL vdd vss X112 3 nor2 X2 3 4 5 nor2 SUBCKT nor2 A B Y PRINT v B v N1 Print statement 1 M1 N1 A vdd vdd pch w 6u 1 0 8u M2 Y B N1 vdd pch w 6u 1 0 8u M3 Y A vss vss vss nch w 3u 1 0 8u M4 Y B vss vss nch w 3u 1 0 8u ENDS HSPICE 8 Simulation and Analysis User Guide 249 Y 2006 03 Chapter 7 Simulation Output Displaying Simulation Results 250 Print statement 1 prints outthe voltage on the B input node and on the N1 internal node for every instance of the nor2 subcircuit PRINT v 1 v X1 A Print statement 2 The preceding PRINT statement specifies two ways to print the voltage on the A input of the X1 instance PRINT v 3 v X1 Y v X2 A Print statement 3 The preceding PRINT statement specifies three different ways to print the voltage at the Y output of the X1 instance orthe A input of the X2 instance PRINT v X2 N1 Print statement 4 The preceding PRINT statement prints the voltage on the N1 internal node of the X2 instance PRINT i X1 M1 Print statement 5 The preceding PRINT statement prints out the drain to source current through the M1 MOSFET in the X1 instance Example 2 X15 6 YYY SUBCKT YYY 15 16 X2 16 36 ZZZ R1 15 25 1 R2 25 16 1 ENDS SUBCKT ZZZ 16 36 C1 16 0 10P R3 36 56 10K C2 56 0 1P E
34. Optimization Section model optmod opt dc data RES TEMP optimize opt1 results r temp1 r temp2 r temp3 model optmod param tclr_opt opt1 001 1 1 param tc2r_opt opti1 1u 1m 1m meas r templ err2 par R meas t1 par 1 0 lvl1 r 25 meas r temp2 err2 par R meas t2 par 1 0 1v1 r0 meas r temp3 err2 par R meas t3 par 1 0 lvl r 25 Output section dc data RES TEMP print r1 diff par 1 0 1v1 r 25 4 r2 diff par 1 0 1vi1 r0 4 r3 diff par 1 0 1v1 r 25 data RES TEMP R meas t1 R meas t2 R meas t3 950 1000 1010 950 1000 1010 enddata end Simulating Electrical Measurements 520 In this example HSPICE or HSPICE RF simulates electrical measurements which return device characteristics for data sheets The demonstration file for this example is installdirldemo hspice ddl t2n2222 sp or installdirldemo hspicext ddl t2n2222 sp for HSPICE RF This example automatically includes DDL models by reference using either the DDLPATH environment variable or the OPTION SEARCH path Statement It also combines an AC circuit and measurement with a transient circuit and measurement The AC circuit measures the maximum Hfe which is the small signal common emitter gain HSPICE or HSPICE RF uses the MEASURE WHEN statement to calculate the unity gain frequency and the phase atthe specified frequency In the Transient Measurements section of the input file a segmented tr
35. Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Digital and Mixed Mode Stimuli The initial controlling current is 5 mA through VIN1 and 1 3 mA for VIN2 Positive controlling current flows from the positive node through the source to the negative node of vnam linear The non linear polynomial specifies the source voltage as a function of the controlling current s Digital and Mixed Mode Stimuli HSPICE input netlists support two types of digital stimuli U element digital input files HSPICE only Vector input files HSPICE or HSPICE RF This section describes both types U Element Digital Input Elements and Models This section describes the input file format fora U Element For a description of the U Element see the Modeling Ideal and Lumped Transmission Lines chapter in the HSPICE Signal Integrity Guide In HSPICE but not in HSPICE RF the U Element can reference digital input and digital output models for mixed mode simulation If you run HSPICE in standalone mode the state information originates from a digital file Digital outputs are handled in a similar fashion In digital input file mode the input file is named lt design gt d2a and the output file is named lt design gt a2d A2D and D2A functions accept the terminal backslash character as a line continuation character to allow more than 255 characters in a line Use line continuation if the first line of a digital
36. alm208 sp characteristics of National op amp alm208a sp characteristics of National op amp alm224 sp characteristics of National op amp alm258 sp characteristics of National op amp alm258a sp characteristics of National op amp HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input Files File Name Description alm301a sp characteristics of National op amp alm307 sp characteristics of National op amp alm308 sp characteristics of National op amp alm308a sp characteristics of National op amp alm318 sp characteristics of National op amp alm324 sp characteristics of National op amp alm358 sp characteristics of National op amp alm358a sp characteristics of National op amp alm725 sp characteristics of National op amp alm741 sp characteristics of National op amp alm747 sp characteristics of National op amp alm747c sp characteristics of National op amp alm1458 sp characteristics of National dual op amp alm1558 sp characteristics of National dual op amp alm2902 sp characteristics of National op amp alm2904 sp characteristics of National op amp amc1458 sp characteristics of Motorola internally compensated high performance op amp amc1536 sp characteristics of Motorola internally compensated high voltage op amp amc1741 sp characteristics of Motorola internally compensated high performance op amp
37. and 100 lump U models Verilog A installdir demo hspice veriloga resistor sp a very simple Verilog A resistor model sinev sp simple voltage source HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input Files File Name Description deadband sp deadband amplifier pll sp behavioral model of PLL psfet sp Parker Skellern FET model colpitts va Colpitts BJ T oscillator ecl sp ECL inverter opamp sp opamp sample hold sp sample and hold biterrorrate sp bit error rate counter dac sp DAC and ADC HSPICE 8 Simulation and Analysis User Guide Y 2006 03 541 Chapter 19 Running Demonstration Files Demonstration Input F iles 542 HSPICE Simulation and Analysis User Guide Y 2006 03 A Statistical Analysis Describes the features available in HSPICE for statistical analysis before the Y 2006 03 release Overview Described in this appendix are the features available in HSPICE for statistical analysis before the Y 2006 03 release These features are still supported however the new features described in Chapter 13 Simulating Variability Chapter 14 Variation Block and Chapter 15 Monte Carlo Analysis represent a significant enhancement over prior approaches The previously available documentation on statistical analysis has been reviewed and enhanced for the benefit of thos
38. end a baser vbe ic ib 20 0 9 170e 10 9 058e 10 240 0 2 700e 09 2 660e 09 280 0 8 681e 09 8 483e 09 320 0 3 072e 08 2 992e 08 360 0 1 177e 07 1 137e 07 40 0 4 708e 07 4 517e 07 440 0 1 848e 06 1 752e 06 480 0 6 574e 06 6 130e 06 520 0 2 088e 05 1 876e 05 b60 0 6 245e 05 5 265e 05 60 0 1 823e 04 1 377e 04 640 0 5 194e 04 3 276e 04 680 0 1 467e 03 7 302e 04 720 0 3 969e 03 1 552e 03 760 0 9 658e 03 3 180e 03 80 0 2 050e 02 6 329e 03 data HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview Figure 74 JFET Optimization 45 0M 40 0M 35 0M 30 0M 25 0M PARAM LIN 20 0M 15 0M 10 0M FILE JOPT SP JFET OPTIMIZATION APRIL 22 2004 18 41 12 gr i s LH TOPT S 0 ee neal PARC XC OS a pw Z IUL 7 rd e ET E roe E fe 7 Nm Dol j m repel PA i bg xc ub dou ul ee che ete dos za no 1 0 2 0 3 0 4 0 DESIRED LIN Optimizing MOS Op amp The design goals for the MOS operational amplifier are Minimize the gate area and therefore the total cell area Minimize the power dissipation Open loop transient step response of 100 ns for rising and falling edges The HSPICE strategy is Simultaneously optimize two amplifier cells for rising and falling edges Total power is power for two cells The optimization transient analysis must be longer to allow for a range of values in inter
39. 1 probe v n20 1 probe v n2 1 end ent E or HSPICE Simulation and Analysis User Guide 247 Y 2006 03 Chapter 7 Simulation Output Displaying Simulation Results 248 Supported Wildcard Templates v vm vr vi vp vdb vt i im ir ii ip idb it p pm pr pi pp pdb pt lxn n lvn n n is a number 0 9 il iml irl iil ipl idb1 itl i2 im2 ir2 ii2 ip2 idb2 it2 i3 im3 ir3 ii3 ip3 idb3 it3 i4 im4 ir4 ii4 ip4 idb4 it4 iall For detailed information about the templates see PRINT statement see Selecting Simulation Output Parameters on page 251 Using wildcards in statements such as v n2 and v n2 1 in the preceding test case named test wildcard you can also use the following in statements they are not equivalent if you use an AC statement instead of a DC statement vm n2 vr n2 vi n2 vp n2 vdb n2 vt n2 vm n2 1 vr n2 1 vi n2 1 vp n2 1 vdb n2 1 vt n2 1 Using wildcards in statements such as i in this test wildcard case You can also use the following in statements they are not equivalent if you use an AC statement instead of a DC statement imt ir 350 idb it iall is an output template for all branch currents of diode BJ T J FET or MOSFET output For example ial1 m is equivalent to il m i2 m i3 m i4 m Print Control Options The codes that you can use to specify the element templates for output in HSPICE or HSPI
40. 463 464 465 465 466 466 467 467 467 468 469 470 470 413 476 481 485 487 489 493 499 499 500 501 19 Contents Linear Acceleration Control Options Summary iliis esses 501 Running Demonstration Files 0 0 sees esses 505 Using the Demo Directory Tree 2 esee e 505 Two Bit Adder Demo ssssssssseees e res 506 One BIt SUbGIrGUlL sauces er he L4 RELIER RD EET REAT AEN E ENA 506 MOS Two Bit Adder Input File 0 0 eee 508 MOS l V and C V Plotting Demo 1 0 eee nh 508 Plotting Variables exec eem ex ET eX 509 MOS l V and C V Plot Example Input File 000000005 513 CMOS Output Driver Demo 6 ee 513 Strategy cos tette Dac Dur ater tha tene eke detest ge 514 CMOS Output Driver Example InputFile sss 518 Temperature Coefficients Demo isseee n 518 Input File for Optimized Temperature Coefficients 519 Optimization Section 0 0 eene 520 Simulating Electrical Measurements 0 0 00 ee 520 T2N2222 Optimization Example Input File 0 0005 521 Modeling Wide Channel MOS Transistors 0 cece cece eens 521 Demonstration Input Files 0 0 0 cette 524 Statistical Analysis wie ete ee eds yr e Pee RA ERES 543 OVGIVIGWcs tec eic oe EM Ae pial ee Sake Seeds pee Fed Uae I Phe eA 543 Application of Statistical Analysis lee 544 Analytical Model Types ssssssssseees e en
41. 504 HSPICE Simulation and Analysis User Guide Y 2006 03 19 Running Demonstration F iles Contains examples of basic file construction techniques advanced features and simulation tricks Lists and describes several HSPICE and HSPICE RF input files Using the Demo Directory Tree Demonstration Input Files on page 524 lists demonstration files which are designed as good training examples Most HSPICE or HSPICE RF distributions include these examples in the demo directory tree where installdir is the installation directory environment variable Table 57 Directory File Description installdirdemo hspice alge algebraic output apps general applications behave analog behavioral components bench standard benchmarks bjt bipolar components cchar characteristics of cell prototypes ciropt circuit level optimization ddl Discrete Device Library devopt device level optimization HSPICE Simulation and Analysis User Guide Y 2006 03 505 Chapter 19 Running Demonstration Files Two Bit Adder Demo Table 57 Directory File Description fft Fourier analysis HSPICE only filters filters mag transformers magnetic core components mos MOS components rad radiation effects photocurrent sources dependent and independent sources tine filters and transmission lines veriloga Verilog A examples Two Bit Adder Demo This two bit adder s
42. An ideal delay element A piecewise linear current controlled multi input AND NAND OR or NOR gate The G element can be A voltage controlled current source A behavioral current source A voltage controlled resistor A piecewise linear voltage controlled capacitor An ideal delay element A piecewise linear multi input AND NAND OR or NOR gate HSPICE 8 Simulation and Analysis User Guide 157 Y 2006 03 Chapter 5 Sources and Stimuli Voltage and Current Controlled Elements 158 The H element can be Acurrent controlled voltage source e An ideal delay element A piecewise linear current controlled multi input AND NAND OR or NOR gate The next section describes polynomial and piecewise linear functions Later sections describe element statements for linear or nonlinear functions For detailed PWL examples see section P WL DATA VEC Converter in the HSPICE Applications Manual Polynomial Functions You can use the controlled element statement to define the controlled output variable current resistance or voltage as a polynomial function of one or more voltages or branch currents You can select three polynomial equations using the POLY NDIM parameter in the E F G or H element statement Value Description POLY 1 One dimensional equation function of one controlling variable POLY 2 Two dimensional equation function of two controlling variables POLY 3 Three dimens
43. Bl wNLC l ot P HSPICE Simulation and Analysis User Guide 107 Y 2006 03 Chapter 4 Elements Transmission Lines 108 This is equivalent to an ideal delay with the following value fee ee Jn Vp Where T absolute time delay sec L physical length L meters V phase velocity meters sec Using standard distance velocity time relationships the HSPICE T element parameter values are related to these terms according to Vp 2f 3 Where f frequency a wavelength t relative time delay TD sec meter pg Sty ls op dre Where 1 physical length L meters i x normalized length NL f frequency at NL F Hz T TD L T JLC L HSPICE therefore allows you to specify a transmission line in three different Ways Zo TD L Zo NL F L with E and LC values taken from a U model HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Transmission Lines Lossy U Element Uxxx inl in2 inb refin outi out2 lt out5 gt gt refout mname L val lt LUMPS val gt Parameter Description U xxx Lossy U Element transmission line element name Must begin with U followed by up to 1023 alphanumeric characters inx Signal input node for the x transmission line in1 is required refin Ground reference for the input signal outx Signal output node for the x transmission line each input port must have a corresponding output port
44. Expected stimulus PWL source data card or VEC file Signals to transform Independent variables One STIM statement produces one corresponding output file For the syntax and description of the STIM statement see the STIM command in the HSPICE Command Reference Output Files The STIM statement generates the following output files Output File Type Extension PWL Source pwl _tr The STIM statement writes PWL source results to output file pwl trc This output file results from a strm tran pwl statement in the input file Data Card dat _tr dat act or dat _sw The STIM statement writes DATA Card results to output file dat sw output file dat ac or output file dat tr This output file is the result of a stim tran ac dc gt data statement in the input file Digital Vector File vec _tr The STIM statement writes Digital Vector File results to output file vec trx amp This output file is the result of a stim tran vec statement in the input file 274 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Element Template Listings Symbol Description tr ac sw tr transient analysis ac AC analysis sw DC sweep analysis Either a sweep number or a hard copy file number For a single sweep run the default number is 0 Serial number of the current STIM statement within statements of the same stimulus type pwl data or vec 20
45. Fmin dB minimum noise figure dB GammaOpt M magnitude of the reflection coefficient needed to realize Fmin GammaOpt P phase degrees of the reflection coefficient needed to realize F min RN ZO normalized noise resistance Both GammaOpt and RN ZO values are normalized with respect to the characteristic impedance of the port 1 element that is Z01 Noise Parameters You can use the LIN analysis to compute the equivalent two port noise parameters for a network The noisecalc 1 option automatically calculates the following equivalent circuit values Figure 56 Noise Equivalent Circuit Ni IZ In Two Port Port 1 Network e Vijis the equivalent input referred noise voltage source Port 2 is the equivalent input referred noise current source m Vn is their correlation HSPICE can output the result of LIN noise analysis to a sc Touchstone or CITI file HSPICE noise analysis also makes the following measurements available oe v G ile AKT AKT HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis 2 Ra Roop t iX Z5 i a R cor cor opt G i II IR z A F nin 1 26 Rer G a Xcor Wopt E EA Hybrid H Parameters Z cor cor LIN analysis can calculate the complex two port H hybrid parameter of a multi terminal network
46. For cases where only the effects of local variations on the DC response of a circuit is of interest a method called DC mismatch DC match analysis can be used for a description of DCmatch see Chapter 16 DC Mismatch Analysis HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 15 Monte Carlo Analysis Monte Carlo Analysis in HSPICE Monte Carlo Analysis in HSPICE Monte Carlo analysis has been available in HSPICE for some time and is based on two approaches defining distributions on global parameters using AGAUSS GAUSS UNIF and AUNIF in a netlist for example param var agauss 20 1 2 3 defining distributions on model parameters using DEV and LOT constructs in a model file for example vth0z0 6 1ot 0 1 dev 0 02 The above two methods are documented in Appendix A Statistical Analysis To satisfy some key requirements for modern semiconductor technologies a new approach is available based on the variation block which is described in detail in chapter 14 This new approach is not compatible with the earlier ones see the section on options for ways to select one or the other method For the following discussion refer to diagram in Figure 64 Sample number 1 of a Monte Carlo analysis is always executed with nominal values and no variation For subsequent samples HSPICE updates the parameters specified for variation in the variation block with random values For global variations a specified parameter i
47. K LV1 Mutual inductance Table 29 Voltage Controlled Current Source G Element Name Alias Description CURR LXO Current through the source if VCCS R LXO Resistance value if VCR HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Element Template Listings Table 29 Voltage Controlled Current Source G Element Continued Name Alias Description C LXO Capacitance value if VCCAP CV LX1 Controlling voltage CQ LX1 Capacitance charge if VCCAP DI LX2 Derivative of the source current relative to the control voltage ICAP LX2 Capacitance current if VCCAP VCAP LX3 Voltage across capacitance if VCCAP Table 30 Voltage Controlled Voltage Source E Element Name Alias Description VOLT LXO Source voltage CURR LX1 Current through source CV LX2 Controlling voltage DV LX3 Derivative of the source voltage relative to the control current Table 31 Current Controlled Current Source F Element Name Alias Description CURR LXO Current through source CI LX1 Controlling current DI LX2 Derivative of the source current relative to the control current HSPICE Simulation and Analysis User Guide 211 Y 2006 03 Chapter 7 Simulation Output Element Template Listings 278 Table 32 Current Controlled Voltage Source H Element Name Alias Description VOLT LXO Source voltage CURR LX1 Source current CI LX2 Controlling c
48. KkKKKKK cmos inverter fourier analysis tnom 25 000 temp 25 000 fourier components of transient response v 2 dc component 2 430D 00 harmonic frequency fourier normalized phase normalized no hz component component deg phase deg 1 20 0000x 3 0462 1 0000 176 5386 0 HSPICE 8 Simulation and Analysis User Guide 341 Y 2006 03 Chapter 9 Transient Analysis Fourier Analysis 342 2 40 0000x 115 7006m 37 9817m 106 2672 282 8057 3 60 0000x 753 0446m 247 2061m 170 7288 5 8098 4 80 0000x 77 8910m 25 5697m 125 9511 302 4897 5 100 0000x 296 5549m 97 3517m 164 5430 11 9956 6 120 0000x 50 0994m 16 4464m 148 1115 324 6501 7 140 0000x 125 2127m 41 1043m 157 7399 18 7987 8 160 0000x 25 6916m 8 4339m 172 9579 3 5807 9 180 0000x 47 7347m 15 6701m 154 1858 22 3528 total harmonic distortion 27 3791 percent S pectrum analysis represents a time domain signal within the frequency domain It most commonly uses the Fourier transform A Discrete Fourier Transform DFT uses sequences of time values to determine the frequency content of analog signals in circuit simulation The Fast Fourier Transform FFT calculates the DFT which Synopsys HSPICE uses for spectrum analysis The FFT statement uses the internal time point values By default FFT uses a second order interpolation to obtain waveform samples based on the number of points that you specify You can use windowing functions to reduce the effects of wav
49. Matching coefficients The LIN analysis is similar to basic small signal swept frequency AC analysis but it also automatically calculates a series of noise and small signal transfer parameters between the terminals identified using port P elements HSPICE can output the result of group delay extraction and two port noise analysis to either a sc file a Touchstone file or a CITIfile HSPICE amp Simulation and Analysis User Guide 351 Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis 352 The PRINT PROBE MEAS output syntax for LIN supports H hybrid parameters and S Y Z H group delay Figure 53 Basic Circuit in LIN Analysis l 12 t Circuit t Z01 Pl V1 under V2 P2 Z02 test Identifying Ports with the Port Element The LIN command computes the S scattering Y admittance and Z impedance parameters directly based on the location of the port P elements in your circuit and the specified values for their reference impedances The port element identifies the ports used in LIN analysis Each port element requires a unique port number If your design uses N port elements your netlist must contain the sequential set of port numbers 1 through N for example in a design containing 512 ports you must number each port sequentially 1 to 512 Each port has an associated system impedance z0 If you do not explicit
50. NPDELAY iru me ad The TRAN statement specifies the tstep and tstop values PO P1 The polynomial coefficients If you specify one coefficient HSPICE or HSPICE RF assumes itis P1 P 020 0 and the source element is linear If you specify more than one polynomial coefficient then the source is non linear and HSPICE or HSPICE RF assumes that the polynomials are PO P1 P2 See Polynomial Functions on page 158 POLY Keyword for the polynomial function If you do not specify P OLY ndim HSPICE assumes thatthis is a one dimensional polynomial Ndim must be a positive number PWL Keyword for the piecewise linear function SCALE Multiplier for the element value TC1 TC2 First order and second order temperature coefficients Temperature changes update the SCALE SCALEeff SCALE 1 TC1 At TC2 At TD Keyword for the time propagation delay vnl Names of voltage sources through which the controlling current flows S pecify one name for each dimension xls Controlling current through the vn1 source Specify the x values in increasing order HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Current Dependent Current Sources F Elements Parameter Description yl Corresponding output current values of x F Element Examples Example 1 This example describes a current controlled current source connected between nodes 13 and 5 The current which controls th
51. Node identifiers can be up to 1024 characters long including periods and extensions Node identifiers are used for node numbers and node names Node numbers are valid in the range of 0 through 9999999999999999 1 1e16 Leading zeros in node numbers are ignored Trailing characters in node numbers are ignored For example node 1A is the same as node 1 A node name can begin with any of these characters I4 amp For additional information see Node Naming Conventions on page 44 To make node names global across all subcircuits use a GLOBAL statement The 0 GND GND and GROUND node names all refer to the global HSPICE or HSPICE RF ground Simulation treats nodes with any of these names as a ground node and produces v 0 into the output files Instance Names The names of element instances begin with the element key letter see Table 4 except in subcircuits where instance names begin with X Subcircuits are sometimes called macros or modules Instance names can be up to 1024 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Guidelines characters long The OPTION LENNAM defines the length of names in printouts default 8 Table 4 Element Identifiers Letter First Element Example Line Char B IBIS buffer b io 0 nd pu0 nd_pdo nd out nd in0 nd enO nd outofinO0 nd pcO nd gcO C Capacitor Cbypass 1 0 10pf D Diode D7 3 9 D1 E
52. Simulation Output The proper specification order is a Analysis statement with OPTIMIZE b MEASURE statements specifying optimization goals or error functions C Ordinary analysis statement d Outputstatements Optimizing Analysis DC TRAN AC The following syntax optimizes HSPICE simulation for a DC AC and Transient analysis DC DATA filename SWEEP OPTIMIZE OPTxxx RESULTS ierrl ierrn MODEL optmod AC DATA filename SWEEP OPTIMIZE OPTxxx RESULTS ierrl ierrn MODEL optmod TRAN lt DATA filename gt SWEEP OPTIMIZE OPTxxx RESULTS ierrl ierrn MODEL optmod Argument Description DATA S pecifies an in line file of parameter data to use in optimization MODEL The optimization reference name which you also specify in the MODEL optimization statement HSPICE amp Simulation and Analysis User Guide 469 Y 2006 03 Chapter 17 Optimization Overview 470 Argument Description OPTIMIZE Indicates that the analysis is for optimization Specifies the parameter reference name used in the PARAM optimization statement In a PARAM optimization statements if OPTIMIZE selects the parameter reference name then the associated parameters vary during an optimization analysis RESULTS The measurement reference name You also specify this name in the MEASURE optimization statement RESULTS passes the analysis data to the MEASURE optimization statement Optimization Examples This
53. Y 2006 03 3 Input Netlist and Data Entry Describes the input netlist file and methods of entering data For descriptions of individual HSPICE commands referenced in this chapter see the Netlist Commands chapter in the HSPICE Command Heference Input Netlist File Guidelines HSPICE and HSPICE RF operate on an input netlist file and store results in either an output listing file or a graph data file An input file with the name design sp contains the following Design netlist subcircuits macros power supplies and so on Statement naming the library to use optional Specifies the type of analysis to run optional m Specifies the type of output desired optional An input filename can be up to 1024 characters long The input netlist file cannot be in a packed or compressed format To generate input netlist and library input files HSPICE or HSPICE RF uses either a schematic netlister or a text editor Statements in the input netlist file can be in any order except that the first line is a title line and the last ALTER submodule must appear at the end of the file and before the END statement Note If you do not place an END statement and a Return atthe end of the input netlist file HSPICE or HSPICE RF issues an error message HSPICE 8 Simulation and Analysis User Guide 29 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Guidelines Netlist input processing is case in
54. expand the circuit set parameter values for each device instance select different model cards reference subcircuits or define subcircuits in each IF ELSE block if conditioni statement blocki The following statement block in braces is optional and you can repeat it multiple times elseif condition2 statement block2 The following statement block in brackets is optional and you cannot repeat it else lt statement_block3 gt endif HSPICE 8 Simulation and Analysis User Guide 55 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition nan IF ELSEIF or ELSE condition statement complex Boolean expressions must not be ambiguous For example change a b amp amp c gt d to a b amp amp c gt d nan IF ELSEIF Or ELSE statement block you can include most valid HSPICE or HSPICE RF analysis and output statements The exceptions are END ALTER GLOBAL DEL LIB MALIAS ALIAS LIST NOLIST and CONNECT statements e search d_ibis d_imic d_lv56 biasfi modsrh cmiflag nxx and brief options Youcan include IF ELSEIF ELSE statements in subcircuits and subcircuits in IF ELSEIF ELSE statements You can use IF ELSEIF ELSE blocks to select different submodules to structure the netlist using INC LIB and vEC statements ftwoormore models in an IF ELSE block have the same model name and model type
55. get E M Another function allows for accessing the values of global parameters by using the following syntax get P global parameter HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 14 Variation Block Variation Block Example For example the resistor variation is proportional to absolute temperature temp 100 ral 1 0 1k variation local variation element variation R R 273 get_P temper 0 25 end element variation end local variation end variation Variation Block Example This variation block is used in the example netlists opampdcm sp and opampmc sp Most pieces of this example are explained below Global variations on vthO absolute Global variations on u0 relative Local variations on vthO absolute as a function of device area Local variations on uO relative as a function of device area Local variation on the implicit value of resistors relative variation global variation NMOS SNPS20N vth020 07 u0 10 PMOS SNPS20P vth020 08 u0 8 end global variation local variation nmos snps20N vth0z2 1 234e 9 sqrt get E W get E L get E M u02 2 345e 6 sqrt get E W get E L get E M pmos snps20P vth0z2 1 234e 9 sqrt get E W get E L get E M u02 2 345e 6 sqrt get E W get E L get E M element variation R r 10 end element variation end local variation end variation HSPICE 8 Simulation and Analysis User Guide 443 Y 2006 03 Chapter 14 Var
56. minus Parameter Sets Parameter sets paramsets bring the concept of model cards directly into Verilog A Paramsets allow sharing of a set of parameters among several modules They may also be chained allowing a common parameter set to be used Example paramset nch my nmos default paramset parameter real l lu from 0 25u inf parameter real w 1u from 0 2u inf HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Simulation with Verilog A Modules lz1 w w ad w 0 5u as w 0 5u level 3 kp 5e 5 tox 3e 8 u0 650 nsub 1 3e17 vmax 0 tpg 1 nfs 0 8e12 endparamset Simulation with Verilog A Modules When simulating with Verilog A in HSPICE you need to have the following basic input files HSPICE netlist model card Mandatory Verilog A model file for example va or vams file or Compiled Model Library file cml Mandatory HSPICE Verilog A feature setup options Optional but mandatory under certain conditions Basic output files m HSPICE standard output files The valfile Verilog A log file which contains Verilog A specific message from compiling and simulating phase The contents of val file is also echoed to the Jis file m Compiled Verilog A code cm file when Verilog A modules are compiled manually Loading Verilog A Devices This section describes loading Verilog A modules into HSPICE and specifying cell names for Verilog A
57. n 1 n is the number of the STIM statement of that type The initial value is 0 For example if you specify three STIM pwl statements HSPICE generates three PWL output files with the suffix names pwlO tr pwll tr and pwl2_tr Element Template Listings This section applies only to HSPICE HSPICE RF does not support element template output Table 25 Resistor R Element Name Alias Description G LV1 Conductance at analysis temperature R LV2 Resistance at analysis temperature TC1 LV3 First temperature coefficient TC2 LV4 Second temperature coefficient Table 26 Capacitor C Element Name Alias Description CEFF LV1 Computed effective capacitance IC LV2 Initial condition HSPICE Simulation and Analysis User Guide 275 Y 2006 03 Chapter 7 Simulation Output Element Template Listings 276 Table 26 Capacitor C Element Continued Name Alias Description Q LXO Charge stored in capacitor CURR LX1 Current flowing through capacitor VOLT LX2 Voltage across capacitor LX3 Capacitance not used after HSPICE releases after 95 3 Table 27 Inductor L Element Name Alias Description LEFF LV1 Computed effective inductance IC LV2 Initial condition FLUX LXO Flux in the inductor VOLT LX1 Voltage across inductor CURR LX2 Current flowing through inductor LX4 Inductance not used after HSPICE releases after 95 3 Table 28 Mutual Inductor K Element Name Alias Description
58. sinh x cosh x tanh x asinh x acosh x atanh x Description sine cosine tangent arc sine arc cosine arc tangent arc tangent of x y sqrt x 2 y 2 hyperbolic sine hyperbolic cosine hyperbolic tangent arc hyperbolic sine arc hyperbolic cosine arch hyperbolic tangent Domain all x all x x n pi 2 n is odd l lt x lt 1 l lt x lt 1 all x all x all y all x all y x 80 x 80 all x all x x 1 1 2x lt 1 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Introduction to Verilog A AC Analysis Stimuli The AC stimulus function produces a sinusoidal stimulus for use during a small signal analysis ac stim analysis name mag phase Noise Functions The noise functions contribute noise during small signal analyses The functions have an optional name which the simulator uses to tabularize the contributions Table 51 Verilog A Noise Functions Function Description White Noise Generates a frequency independent noise of power pwr white noise pwr name Flicker Noise Generates a frequency dependent noise of power pwr at 1 Hz which varies in proportion to the expression 1 fexp flicker noise pwr exp name Noise Table Defines noise via a piecewise linear function of frequency Vector is frequency power pairs in ascending frequencies Noise table vector name Analog Events The followin
59. they must also be the same revision level Parameters in an IF ELSE block do notaffectthe parameter value within the condition expression HSPICE or HSPICE RF updates the parameter value only after it selects the IF ELSE block You can nestIF ELSE blocks You can include SUBCKT and MACRO statements within an IF ELSE block Youcan include an unlimited number of ELSEIF statements within an IF ELSE block m You cannot include sweep parameters or simulation results within an IF ELSE block You cannotuse an IF ELSE block within another statement In the following example HSPICE or HSPICE RF does notrecognize the IF ELSE block as part of the resistor definition r10 if r val 10k 10k else r val endif 56 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Using Subcircuits Using Subcircuits Reusable cells are the key to saving labor in any CAD system This also applies to circuit simulation in HSPICE or HSPICE RF To create and simulate a reusable circuit construct it as a subcircuit Use parameters to expand the utility of a subcircuit Traditional SPICE includes the basic subcircuit but does not provide a way to consistently name nodes However HSPICE or HSPICE RF provides a simple method for naming subcircuit nodes and elements use the subcircuit call name as a prefix to the node or element name In HSPICE RF you cannot replicate output comman
60. to the branch from d to s in the final statement using the contribution operator lt b This Verilog A module is loaded into HSPICE with an HDL command in the netlist The device is then instantiated using the X prefix for the device name The connectivity module name and parameter assignments follow the format of a subcircuit device The following instantiation line in the netlist is for this device x1 drain gate source jfet Beta 1 1e 4 lambda 0 01 The nodes drain gate and source are mapped to the ports d g s in the same order as defined in the module definition Any parameters in the instantiation line are passed to the module otherwise the default value defined on the parameter declaration line is used The parameter declaration allows ranges and exclusions to be easily defined HSPICE 8 Simulation and Analysis User Guide 395 Y 2006 03 Chapter 12 Using Verilog A Introduction to Verilog A 398 initial step begin L5 Code inside an initial step block is executed at the first step of each analysis end real var I portl1 Current portl to ground V bus 0 bus 1 lt real var real param int param Q final step begin Code inside an final step block is executed at the last step of each analysis end end endmodule Data Types Four Verilog A data types are available The parameter type is used to pass values from the netlist to the module Table 47 Verilog A Data Types Data Ty
61. transient analysis 525 transistor characterization 532 transmission lines 539 540 triode model 527 tunnel diodes 527 528 twinlead transmission line model 540 U models 540 unity gain frequency 529 verilog a 540 541 Viewsim A2D input 529 D2A input 529 voltage follower 527 voltage controlled current source 526 527 oscillator 524 527 resistor inverter 539 voltage source 527 voltage to frequency converter 524 voltage variable capacitor 526 waveform smoothing 527 worst case skew model 526 derivative measuring 270 design name 13 deviation average 545 device characterization 61 diagnostic tables 308 309 digital files 199 vector file 210 digital output file 17 digital vector file Waveform Characteristics section 216 DIM2 parameter 266 DIM3 parameter 266 diodes breakdown example 194 current flow 254 elements 278 equations 193 junction 92 models 91 polysilicon capacitor length 92 power dissipation 257 directories installation directory 61 TEMP 21 TMP 21 tmp 21 directory structure 611 distortion 266 dm file 19 DOUT statement 213 dp file 16 DTEMP parameter 519 546 547 DV option 302 DVDT algorithm 330 334 option 328 334 335 dynamic timestep algorithm 335 E E Elements applications 157 element multiplier 175 syntax statements 165 temperature coefficients 175 time delay keyword 175 editor notepad exe 613 electrical measurements 520 element active BJTs 93 diodes 91 JFETs 95 MESFETs 95 MOS
62. you define Using any of these reserved operator keywords as names causes a syntax error and HSPICE or HSPICE RF stops immediately First Character The first character in every line specifies how HSPICE and HSPICE RF interprets the remaining line Table 3lists and describes the valid characters Table 3 First Character Descriptions Line If the First Character is Indicates First line of a netlist Any character Title or commentline The first line of an included file is a normal line and nota comment Subsequent lines of period Netlist keyword For example netlist and all lines of TRAN 0 5ns 20ns included files c C d D e E F g G h Element instantiation H 1 I Jj J k K L L m M q Q r R S S v V w W asterisk Comment line HSP ICE number Comment line HSPICE RF plus Continues previous line HSPICE Simulation and Analysis User Guide 31 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Guidelines 32 Delimiters An input token is any item in the input file that HSPICE or HSPICE RF recognizes Input token delimiters are tab blank comma equal sign and parentheses Single or double quotes delimit expressions and filenames Colons delimit element attributes for example M1 VGS Periods indicate hierarchy For example X1 X2 n1 is the n1 node on the X2 subcircuit of the X1 circuit Node Identifiers
63. 0 0 PULSE 2 4 8 2N 1N 1N 5N 20N CLOAD OUT 0 75P MODEL PCH PMOS LEVEL 1 MODEL NCH NMOS LEVEL 1 END You can find the complete netlist for this example in directory lt installdir gt demo hspice apps quickIN V sp 2 Torun HSPICE type the following hspice quickINV sp quickINV lis 3 Use AvanWaves to examine the voltage waveforms atthe inverter IN and OUT nodes HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Using the BIASCHK Statement Figure 45 shows the waveforms Figure 45 Voltage at MOS Inverter Node 1 and Node 2 3 0 Volt lin 2 0 1 0 Inverter Circuit 04 21 2003 16 48 25 20 40 60 80 100 120 140 160 180 200 of E time lin Using the BIASCHK Statement The BIASCHK statement can monitor the voltage bias current device size expression and region during transient analysis and reports Element name Time Terminals Bias that exceeds the limit Number of times the bias exceeds the limit for an element For the syntax and description of this statement see the BIASCHK command in the HSPICE Command Heference HSPICE or HSPICE RF saves the information as both a warning and a BIASCHK summary in the is file You can use this command only for active elements and capacitors You can also use OPTION BIASFILE and OPTION BIAWARN With a BIASCHK statement HSPICE amp Simulation and Analysis
64. 0 100m Note BYPASS is on setto 1 only when DvpT 4 For other DvDT settings BYPASS is off 0 The SLOPETOL value is 0 75 only if DVDT 4 and LVLTIM 1 For all other values of DVDT or LVLTIM SLOPETOL defaults to 0 5 HSPICE Simulation and Analysis User Guide 327 Y 2006 03 Chapter 9 Transient Analysis Simulation S peed and Accuracy Timestep Control for Accuracy The DvDT control option selects the timestep control algorithm For a description of the relationships between DvDT and other control options see Selecting Timestep Control Algorithms on page 333 The DELMAX control option also affects simulation accuracy DELMAX specifies the maximum timestep size If you do notset OPTION DELMAX HSPICE or HSPICE RF computes a DELMAX value Factors that determine the computed DELMAX value are OPTION RMAX and OPTION FS Breakpoint locations for a PWL source Breakpoint locations for a PULSE source Smallest period for a SIN source Smallest delay for a transmission line component Smallest ideal delay for a transmission line component TSTEP Value in a TRAN analysis Number of points in an FFT analysis HSPICE only Use the FS and RMAX control options to control the DELMAXx value OPTION FS which defaults to 0 25 scales the breakpoint interval in the DELMAX calculation OPTION RMAX defaults to 5 if DVDT 4 and LVLTIM 1 and scales the TSTEP timestep size in the DELMAX calculation
65. 0 20 0 30 0 40 0 50 0 60 0 MONTE CARLO LIN 562 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Monte Carlo Analysis Figure 100 Limit Functions MONTI SP TEST OF MONTE CARLO GAUSSIAN UNIFORM AND LIMIT FUNCTIONS May 15 2003 11 41 23 DAAAAAS ONNNN At A AAAAA A A AA A AA AA MONTI SVO LIMIT 120 0 115 0 110 0 105 0 VOLT LIN 100 0 HEE TNE PE E NE 80 0 amp amp A 1 1 AAA l SAA AAA A l 1 AAITAAATAAAT AMASA a A AAT A 1 0 10 0 20 0 30 0 40 0 50 0 60 0 MONTE CARLO LIN Major and Minor Distribution In MOS IC processes manufacturing tolerance parameters have both a major and a minor statistical distribution The major distribution is the wafer to wafer and run to run variation It determines electrical yield The minor distribution is the transistor to transistor process variation It is responsible for critical second order effects such as amplifier offset voltage and flip flop preference HSPICE 8 Simulation and Analysis User Guide 563 Y 2006 03 Appendix A Statistical Analysis Monte Carlo Analysis Figure 101 Major and Minor Distribution of Manufacturing Variations pop major distribution B minor distribution d XL polysilicon linewidth variation The following example is a Monte Carlo analysis of a DC sweep in HSPICE Note that HSPICE supports Monte Carlo analysis HSPICE RF does not Mo
66. 1 The following example shows the pulse source connected between node 3 and node 0 In the pulse The output high voltage is 1 V The output low voltage is 1 V The delay is 2 ns The rise and fall time are each 2 ns The high pulse width is 50 ns The period is 100 ns VIN 3 0 PULSE 1 1 2NS 2NS 2NS 50NS 100NS Example 2 The following example is a pulse source which connects between node 99 and node 0 The syntax shows parameter values for all specifications V1 99 0 PU 1v hv tdlay tris tfall tpw tper Example 3 The following example shows an entire netlist which contains a PULSE voltage source In the source The initial voltage is 1 volt The pulse voltage is 2 volts The delay time rise time and fall time are each 5 nanoseconds The pulse width is 20 nanoseconds The pulse period is 50 nanoseconds HSPICE 8 Simulation and Analysis User Guide 131 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions 132 This example is based on demonstration netlist pulse sp which is available in directory lt installdir gt demo hspice sources file pulse sp test of pulse option post tran 5ns 75ns vpulse 1 0 pulse vl v2 td tr tf pw per ri 101 param vl lv v2 2v td 5ns tr 5ns tf 5ns pw 20ns per 50ns end Figure 16 shows the result of simulating this netlist in HSPICE or HSPICE RF Figure 16 Pulse Source Function HSPICE Simulation and Analysis Use
67. 10 Default value is 0 01 FILE Valid file name for the output tables Default is basename dm where is the usual sequence number for HSPICE output files PERTURBATION Indicates that perturbations of P standard deviation will be used in calculating the finite difference approximations to device derivatives The valid range for P is 0 01 to 6 with a default value of 2 INTERVAL Applies only if a DC sweep is specified ntis a positive integer A summary is printed atthe first sweep point then for each subsequent increment of nt and then if not already printed at the final sweep point Only single sweeps are supported Note If more than one DCmatch analysis is specified per simulation only the last Statement is used Example 1 In this example HSPICE reports DCmatch variations on the voltage of node 9 the voltage difference between nodes 4 and 2 and on the current through the source VCC DCmatch V 9 V 4 2 I VCC Example 2 In this example the variable xva1 is being swept in the DC command from 1k to 9k in increments of 1k DCmatch variations are calculated for the voltage on node out Tables with DC match results are generated for the set XVal 1K 4K 7K 9K DC XVal Start 1K Stop 9K Step 1K DCmatch V out Interval 3 HSPICE Simulation and Analysis User Guide 457 Y 2006 03 Chapter 16 DC Mismatch Analysis DCmatch Analysis 458 DCmatch Table Output For each output variable and sweep
68. 100e6 HSPICE 8 Simulation and Analysis User Guide 411 Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices 412 Restrictions on Verilog A Module Names Verilog A module name cannot conflict with certain HSPICE built in device keywords If a conflict occurs HSPICE issues a warning message and the Verilog A module definition is ignored The following built in device keywords cannot be used as Verilog A module names AMP C CORE D L NJ F NMOS NPN OPT PJ F PLOT PMOS PNP R U W SP Overriding Subcircuits with Verilog A Modules If both a subcircuit and a Verilog A module have the same case insensitive name by default HSPICE uses the subcircuit definition This behavior can be changed by setting vamode1 options either at the command line or in a OPTION statement The vamodel options are not supported in HSPICE RF The vAMODEL option works on cell based definitions only Instance based overriding is not supported Netlist Option Syntax OPTION vamodel name This option is not supported in HSPICE RF The name is the cell name that uses a Verilog A definition rather than the subcircuit when both exist Each vamodel option can take no more than one name Multiple names need multiple vamodel options If no name is provided for the vamodel option HSPICE uses the Verilog A definition whenever it is available Example 1 option vamodel vco This example instructs HSPICE to use Verilog A def
69. 13 0 1k rci 4 8 10k HSPICE 8 Simulation and Analysis User Guide 603 Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using CosmosS cope rc11 14 9 10k rc2 5 8 10k rc12 15 9 10k q3 6 7 9 qnl q13 16 17 8 qpl q4 779 qnl q14 17 17 8 qpl rbi 7 8 rbix rb2 17 9 rb2x model qnl npn bf 80 rb 100 ccs 2pf tf 0 3ns tr 6ns cje 3pf cjc 2pf va 50 rcz10 trb 005 trc 005 model qpl pnp bf 80 rb 100 ccs 2pf tf 0 3ns tr 6ns cje 3pf cjc 2pf va 50 bulk 0 rc 10 end Use the previous example linear CMOS amp to draw a circuit diagram for this BJ T diff amplifier Also specify parameter values Execution and Output Files This section displays the various output files from a HSPICE simulation of the BJ T diff amplifier example To execute the simulation enter hspice bjtdiff sp bjtdiff lis where the input file is bjtdiff sp and the output file is bjtdiff lis 604 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using CosmosS cope Simulation creates the following output files Table 62 Output Files Filename Description bjtdiff ic bjtdiff lis bjtdiff mto bjtdiff stO bjtdiff tro bjtdiff swO bjtdiff acO bjtdiff mao Initial conditions for the circuit Text simulation output listing Post processor output for wEAsunE statements Run time statistics Post processor output for tra
70. 14 diodes 2 bjts O0 4 jfets 0 mosfets 4 analysis time points tot iter conv iter op point 0 04 1 23 transient 4 711 505 9322 2624 rev 664 readin 0 03 errchk 0 01 setup 0 01 output 0 01 total cpu time 4 84 seconds job started at 13 58 43 01 08 1999 job ended at 13 58 50 01 08 1999 lic Release hspice token s HSPICE job example sp completed Fri Jan 8 13 58 50 EST 1999 Example pa0 1 xinvl 2 Xinv2 Example st0 HSPICE 98 4 981215 13 58 43 01 08 1999 solaris Input File example sp lic FLEX1m v5 12 USER hspiceuser HOSTNAME hspiceserv HOSTID 8086420f PID 1459 ic Using FLEXl1m license file ic afs rtp product distrib bin license license dat ic Checkout hspice Encryption code AC34CE559E01F6E05809 ic License Maintenance for hspice will expire on 14 apr 1999 1999 200 HSPICE Simulation and Analysis User Guide 593 Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using AvanWaves lic lic init option option init init init ITALE end init end sweep parameter parameter1 analog_voltage cpu clock 2 25E 00 cpu clock 2 27E 00 memory 154 kb parameter dcop begi dcop end tot_iter 1 example mt0 tran tranl begin output sweep cpu clock time 1 tran time 2 tran time 3 tran time 4 tran time 5 tran time 6 tran time 7 tran time 8 tran time 9 tran time 1 tran sweep
71. 168 169 170 171 172 172 173 176 176 176 177 177 177 177 179 180 180 180 180 180 180 181 181 183 184 184 184 184 185 185 185 185 185 185 186 186 187 Polynomial POLY Contents Piecewise Linear PWL sse RR RR Multi Input Gates Voltage Controlled Capacitor VCCAP cece ee ee ees NPWL Function PPWL Function G Element Parameters 0 0 0c ccc eee s Voltage Controlled Capacitor 0 0 eee eee Zero Delay Gate Delay Element Diode Equation Diode Breakdown Triodes BehavioralNois Model arramat Gage ted bees eek Ba Gan Polynomial POLY Piecewise Linear PWL 0 0 ccc eee eee Multi Input Gate Delay Element Digital and Mixed Mode Stimuli U Element Digital Input Elements and Models llus General Form Model Syntax Digital to Analog Input Model Parameters 0 00005 U Element Digital Outputs Model Syntax Analog to Digital Output Model Parameters 0055 Replacing Sources With Digital Inputs 00 0 0 cee eee Specifying a Digital Vector File Commands in a Digital Vector File 0 0 cee cee Vector Patterns Defining Tabular Data Input Stimuli Expected Output Verilog Value Format Periodic Tabular Data 187 187 188 188 188 189 189 189 192 192 192 193 193 193 193
72. 19 Index variables 242 AC formats 263 function 231 voltage 252 output configuration file 14 output files 15 output listing file 18 613 output status file 19 overview of data flow 7 overview of simulation process 9 P pastfile 16 19 packed input files 29 PAR keyword 228 PARAM statement 52 269 544 in ALTER blocks 53 parameter analysis NET 382 parameters ACM 329 admittance Y 260 AF 350 algebraic 228 229 analysis 227 assignment 225 CAPOP 329 cell geometry 233 constants 226 data type 225 data driven analysis 50 defaults 238 defining 223 234 DIM2 266 DIM3 266 evaluation order 225 HD2 266 HD3 266 hierarchical 58 233 269 270 hybrid H 260 IC 293 impedance Z 260 inheritance 236 238 INOISE 266 input netlist file 36 KF 350 libraries 234 236 M 58 631 Index measurement 227 model 200 203 modifying 50 multiply 227 ONOISE 266 optimization 233 OPTxxx 467 468 output 251 overriding 235 238 PARHIER option 238 passing 233 240 order 225 problems 240 Release 95 1 and earlier 240 repeated 269 scattering S 260 scope 233 224 240 SIM2 266 simple 226 subcircuit 58 two port noise 358 user defined 226 UTRA 304 parametric analysis 243 PARHIER option 238 path names 48 peak to peak value measuring 271 phase AC voltage 262 263 calculating 262 PHOTO model parameter 564 PI linear acceleration algorithm 501 piecewise linear sources See PWL pivot selection 326 PIVOT option 326 plot l
73. 194 194 194 195 195 195 195 195 195 195 199 199 200 200 200 203 203 203 206 210 211 211 211 212 213 214 215 Contents 6 T Waveform Characteristics Modifying Waveform Characteristics 0 cece cece eee ees Using the Context Based Comment Lines and Line Parameter Usage FirstGroup Second Group Third Group Digital Vector File Exampl Parameters and Functions Control Option sanaaa Contin ators as a eae e DER Ca Sepa e En e yox Et edo Roy eN a Ya Using Parameters in Simulation PARAM 0 cece cee een ees Defining Parameters Assigning Parameters Inline Parameter Ass Parameters in Outpu ighments cuo sies Serta oak NER ES LlgavgxWs RS hie E dX ER RERO RU User Defined Function Parameters isss ens Predefined Analysis Func TO MSs hava toc e terere een teens ee ee Measurement Parameters sio ciren tenn eee eens PRINT PROBE PLOT Multiply Parameter Using Algebraic Expressions Built In Functions and Variable and GRAPH Parameters 005 Sic en m RII STD ar i ard e n tmr Sd et Parameter Scoping and Passing ccc cece ete teeta Library Integrity Reusing Cells Creating Parameters in a String Parameter lelDrakyid so esee tr S Pea hoon Parameter Defaults and Inheritance aa Parameter Passing Parameter Passing Solutions 0 0 sese
74. 1n010203 On S model S Model name reference which contains the S parameters of the transmission lines forthe S Model syntax see the HSPICE Signal Integrity Guide TABLEMODEL Name of the frequency dependent tabular model Example 1 The W1 lossy transmission line connects the in node to the out node Wl in gnd out gnd RLGCfile cable rlgc N 1 L 5 Where Both signal references are grounded The RLGC file is named cable rlgc The transmission line is 5 meters long Example 2 The Wcable element is a two conductor lossy transmission line Wcable inl in2 gnd outil out2 gnd Umodel umod 1 N 2 L 10 Where jnl and in2 input nodes connect to the outl and out2 output node Both signal references are grounded m umod_1 references the U model The transmission line is 10 meters long HSPICE amp Simulation and Analysis User Guide 103 Y 2006 03 Chapter 4 Elements Transmission Lines Example 3 The Wnetl element is a five conductor lossy transmission line Wnetl il i2 i3 i4 i5 gnd ol gnd o3 gnd o5 gnd FSmodel board1 N 5 L 1m Where The il i2 i3 id and i5 input nodes connect to the 01 03 and 05 output nodes The i5 input and three outputs 01 03 and 05 are all grounded boardl references the Field Solver model The transmission line is 1 millimeter long Example 4 S Model Example Wnet1 il i2 gnd o1 o2 gnd Smodel smod 1 nodemap i1i20102 N 2 L 10m Where ini and in2 in
75. 2 through 9 indicates the overlap of two or more traces on a plot If more than one output variable appears on the same plot HSPICE prints and plots the first variable specified To print out more than one variable include another PLOT statement You can specify an unlimited number of PLOT statements for each type of analysis To set the plot width use OPTION Co columns out If you set CO 80 the plot has 50 columns If co 132 the plot has 100 columns You can include wildcards in PLOT statements HSPICE only PROBE Statement HSPICE or HSPICE RF usually saves all voltages supply currents and output variables Set OPTION PROBE to save output variables only Use the PROBE statement to specify the quantities to print in the output listing If you are interested only in the output data file and you do not want tabular or plot data in your listing file set OPTION PROBE and use PROBE to select the values to save in the output listing You can include wildcards in PROBE statements GRAPH Statement Note This is an obsolete statement You can gain the same functionality by using the PROBE statement see PROBE Statement on page 245 Use the GRAPH statement when you need high resolution plots of HSPICE simulation results Note You cannotuse GRAPH statements in the PC version of HSPICE or in any versions of HSPICE RF The GRAPH statement is similar to the PLOT statement with the addition of
76. 21 parameter name conflict 269 system resource inaccessible 249 example AC analysis 262 346 comment line 41 digital vector file 220 experiments 6 HSPICE vs SPICE methods 262 Monte Carlo 560 568 network analysis bipolar transistor 385 624 optimization 470 transient analysis 318 320 worst case 568 EXP source function fall time 136 initial value 136 pulsed value 136 rise time 136 exp x function 230 experiment 6 exponential function 136 230 expressions algebraic 228 external data files 37 F F Elements applications 157 multiply parameter 181 syntax statements 180 time delay keyword 182 value multiplier 182 fall time EXP source function 136 FAST option 327 FFT analysis graph data file 17 file analog transition data 15 DC operating point initial conditions 14 hspui cfg 613 initialization 14 input netlist 15 library input 15 Jis 613 netlist 611 613 output configuration 14 output listing 613 Sp 611 613 file descriptors limit 249 files a2d 15 199 AC analysis measurement results 16 AC analysis results 16 acit 15 d2a 199 DC analysis measurement results 17 DC analysis results 17 digital output 17 external data 37 50 FFT analysis graph data 17 19 ftit 15 gr 16 graph data 9 hardcopy graph data 17 hspice ini 61 ic 16 290 include files 37 including 14 input 9 limit on number 249 lis 16 mas 15 ms 15 mt 16 multiple simulation runs 55 names 13 operating point node voltages 18 out
77. 249 PRINT 242 243 249 PROBE 242 245 249 SAVE 294 source 41 STIM 242 274 SSUBCKT 269 TEMP 50 547 548 TRAN 547 statistical analysis 548 577 statistics calculations 545 STIM statement 242 274 stimuli 274 structure simulation 5 subcircuit cross listing file 19 subcircuits adder 507 calling tree 48 changing in ALTER blocks 53 creating reusable circuits 57 hierarchical parameters 58 library structure 63 multiplying 58 node names 47 48 output printing 249 path names 48 power dissipation computation 256 PRINT and PLOT statements 60 search order 60 zero prefix 49 SSUBCKT statement 269 sw file 15 17 sweep variables 519 switch example 192 switch level MOSFET s example 192 T tabular data 211 Taguchi analysis 544 tan x function 229 tanh x function 229 TC1 TC2 element parameters 175 TD parameter 175 182 192 197 264 270 TDELAY statement 216 TEMP directory 21 environment variable 21 model parameter 50 547 sweep variable 519 TEMP statement 547 548 temper variable 233 temperature circuit 545 547 coefficients 66 518 derating 50 547 element 547 optimizing coefficients 519 reference 50 547 sweeping 519 variable 233 Temperature Variation Analysis 544 TF statement 296 three dimensional function 160 TIC model parameter 246 time delay 264 domain algorithm 331 variable 232 Index TIMESCALE model parameter 204 timestep algorithms 334 control algorithms 333 336 CHGTOL 335 DELMAX 336
78. 534 harmonic distortion 534 high frequency detection 534 intermodulation distortion 534 Kaiser window 534 modulated pulse source 534 Monte Carlo Gaussian distribution 534 product of waveforms 534 pulse source 534 PWL 535 rectangular window 535 single frequency FM source 535 sinusoidal source 534 small signal distortion 534 switched capacitor 535 transient 534 window tests 535 filter matching 529 filters 535 536 behavioral 524 fifth order 527 535 fourth order Butterworth 535 Kerwin s circuit 535 LCR bandpass 535 matching lossy to ideal 529 ninth order low pass 526 535 switched capacitor low pass 526 FR 4 microstrip transmission line 536 539 G Element 525 526 GaASFET amplifier 525 gamma model LEVEL 6 537 general applications 525 526 Index ground bounce 525 540 group time delay 525 impact ionization plot 536 input 524 installation test 527 integrator 526 inverter 525 526 527 528 characterization 528 IRF340 NMOS transistor characterization 532 l V plots LEVEL 3 537 MOSFETS model LEVEL 13 536 SOSFETS model LEVEL 27 537 J FETs photocurrent 539 junction tunnel diode 528 LCR circuit 529 lumped MOS model 525 transmission lines 536 540 magnetic core transformer 536 magnetics 536 microstrip transmission lines 535 540 coupled 540 optimization 540 series 540 Monte Carlo analysis 525 Gaussian distribution 525 limit function 525 uniform distribution 525 MOS 527 529 MOSFETs 536 537 sigma sweep 529 sweep 525
79. 98999999 to node 87654321 with a resistance of 1 ohm for DC and time domain analyses and 10 gigohms for AC analyses Rxxx 98999999 87654321 1 AC 1e10 HSPICE RF Examples Some basic examples for HSPICE RF include R1 is a resistor whose resistance follows the voltage at node c R1 1 0 v c R2 is a resistor whose resistance is the sum ofthe absolute values of nodes c and a R2 10 abs v c abs v d R3 is a resistor whose resistance is the sum of the rconst parameter and 100 times tx1 for a total of 1100 ohms PARAM rconst 100 tx1 10 R3 4 5 rconst tx1 100 Linear Resistors Rxxx nodel node2 modelname gt lt R gt value lt TCl val gt lt TC2 val gt lt W val gt lt L val gt lt M val gt lt C val gt lt DTEMP val gt lt SCALE val gt Parameter Description R XXX Name of a resistor nodel and node2 Names or numbers of the connecting nodes modelname Name of the resistor model value Nominal resistance value in ohms R Resistance in ohms at room temperature TC1 TC2 Temperature coefficient W Resistor width HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements Parameter Description L Resistor length M Parallel multiplier C Parasitic capacitance between node2 and the substrate DTEMP Temperature difference between element and circuit SCALE Scaling factor Example R11 2 10 0 Rload 1 GND RVAL param
80. A Statistical Analysis Monte Carlo Analysis Figure 96 Device Model from Drawn to Electrical Size Drawn Size Shrunken Size m n n n BEEN pee n LMLT gt A zm TT A WMLT XL XW Electrical Size Physical Size source drain source drain gate Y gate lt C LD l S ok WD lt 0 9 m 0 8m Monte Carlo Analysis Monte Carlo analysis HSPICE only HSPICE RF does not support Monte Carlo analysis uses a random number generator to create the following types of functions Gaussian parameter distribution Relative variation variation is a ratio of the average Absolute variation adds variation to the average e Bimodal multiplies distribution to statistically reduce nominal parameters Uniform parameter distribution Relative variation variation is a ratio of the average Absolute variation adds variation to the average e Bimodal multiplies distribution to statistically reduce nominal parameters Random limit parameter distribution e Absolute variation adds variation to the average Monte Carlo analysis randomly selects the min or max variation HSPICE 8 Simulation and Analysis User Guide 553 Y 2006 03 Appendix A Statistical Analysis Monte Carlo Analysis The value of the MONTE analysis keyword determines how many times to perform operating point DC sweep AC sweep or transient analysis Figure 97 Monte Carlo Distribution Gaussian Distribu
81. Ambient temperature the ambient temperature is the air temperature of the system Figure 983 Part Junction Temperature Sets System Performance Ambient Temperature S ystem Temperature Part Temperature source drain source drain gate gate P x Model J unction Temperature PartJ unction Temperature HSPICE or HSPICE RF calculates temperatures as differences from the ambient temperature Tambient Asystem Apart Ajunction Tjunction Ids f Tjunction Tmodel Every element includes a DTEMP keyword which defines the difference between junction and ambient temperature Example The following example uses DTEMP in a MOSFET element statement M1 drain gate source bulk Model name W 10u L 1u DTEMP 20 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Simulating Circuit and Model Temperatures Temperature Analysis You can specify three temperatures Model reference temperature specified in a MODEL statement The temperature parameter is usually TREF but can be TEMP or TNOM in some models This parameter specifies the temperature in C at which HSPICE or HSPICE RF measures and extracts the model parameters Setthe value of TNOM in an OPTION statement Its default value is 25 C Circuit temperature that you specify using a TEMP statement or the TEMP parameter This is the temperature in C atwhich HSPICE or HSPICE RF simulates all ele
82. Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Selecting Timestep Control Algorithms HSPICE might activate autospeedup This process automatically sets RMAX to a bigger value and sets the maximum internal timestep to min TSTOP 20 TSTEP RMAX Set TRCON 1 to disable autospeedup You can then adjust TSTEP and RMAX to balance accuracy and speed In circuits with piecewise linear PWL transient sources then OPTION SLOPETOL also affects the internal timestep A PWL source with a large number of voltage or current segments contributes a correspondingly large number of entries to the internal breakpoint table The number of breakpoint table entries contributes to the internal timestep control If the difference in the slope for consecutive segments of a PWL source is less than the SLOPETOL value then HSPICE ignores the breakpoint table entry for the point between the segments For a PWL source with a signal that changes value slowly ignoring its breakpoint table entries can help reduce the simulation time Data in the breakpoint table is a factor in the internal timestep control so setting a high SLOPETOL reduces the number of usable breakpoint table entries which reduces the simulation time Effect of TSTEP on Timestep Size Selection HSPICE s timestep size selection is affected by voltage current and charge tolerances value ofthe TRAN statement TSTEP argument value of the RMAX option settin
83. Analysis on page 349 Using NOISE for Small Signal Noise Analysis on page 349 Using SAMPLE for Noise Folding Analysis on page 350 Use the NOISE and Ac statements to control the noise analysis of the circuit HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 10 AC Sweep and Small Signal Analysis Other AC Analysis Statements Using DISTO for Small Signal Distortion Analysis The DISTO statement computes the distortion characteristics of the circuit in an AC small signal sinusoidal steady state analysis HSPICE computes and reports five distortion measures at the specified load resistor The analysis is performed assuming that one or two signal frequencies are imposed atthe input The first frequency F1 used to calculate harmonic distortion is the nominal analysis frequency set by the AC statement frequency sweep The optional second input frequency F2 used to calculate intermodulation distortion is set implicitly by specifying the skw2 parameter which is the ratio F2 F1 For command syntax and examples see the DISTO command in the HSPICE Command Reference Using NOISE for Small Signal Noise Analysis Noise calculations in HSPICE or HSPICE RF are based on complex AC nodal voltages which in turn are based on the DC operating point For descriptions of noise models for each device type see the HSPICE Elements and Device Models Manual Each noise source does not statistically correlate to other noise
84. Calling Tree with Circuit Numbers and Instance Names 0 CKT 1 X1 2 X2 3 X3 4 X4 n abc is circuit number instance name sig24 sig25 sig26 In Figure 8 the path name of the sig25 node in the x4 subcircuit is X1 X4 sig25 You can use this path in HSPICE or HSPICE RF statements such as PRINT v X1 X4 sig25 Abbreviated Subcircuit Node Names In HSPICE you can use circuit numbers as an alternative to path names to reference nodes or elements in PRINT PLOT NODESET Or IC HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition statements Compiling the circuit assigns a circuit number to all subcircuits creating an abbreviated path name subckt num name Note HSPICE RF does not recognize this type of abbreviated subcircuit name The subcircuit name and a colon precede every occurrence of a node or element in the output listing file For example 4 INTNODE1 is a node named INTNODE1 in a subcircuit assigned the number 4 Any node not in a subcircuit has a 0 prefix 0 references the main circuit To identify nodes and subcircuits in the output listing file HSPICE uses a circuit number that references the subcircuit where the node or element appears Abbreviated path names let you use DC operating point node voltage output as input in a NODESET statement for a later run You can copy the part of the outp
85. Chapter 4 Elements Passive Elements Example 4 The L99 inductor connects from the in node to the out node Its inductance is determined by the polynomial L cO 4 c1 i c2 i i where i is the current through the inductor The inductor also has a specified DC resistance of 10 ohms L99 in out POLY 4 0 0 35 0 01 R 10 Example 5 The L inductor connects from node 1 to node as a magnetic winding element with 10 turns of wire L12 NT 10 Mutual Inductors General form Kxxx Lyyy Lzzz K coupling coupling Mutual core form Kaaa Lbbb Lccc Lddd mname lt MAG magnetization gt Parameter Description Kxxx Mutual inductor element name Must begin with K followed by up to 1023 alphanumeric characters Lyyy Name of the first of two coupled inductors Lzzz Name of the second of two coupled inductors K coupling Coefficient of mutual coupling K is a unitless number with magnitude 0 and 1 If K is negative the direction of coupling reverses This is equivalent to reversing the polarity of either of the coupled inductors Use the K coupling syntax when using a parameter value or an equation and the keyword k can be omitted Kaaa Saturable core element name Must begin with K followed by up to 1023 alphanumeric characters HSPICE 8 Simulation and Analysis User Guide 81 Y 2006 03 Chapter 4 Elements Passive Elements 82 Parameter Description Lbbb Lccc Lddd Names of the windings
86. Compact Device Model HSPICE contains a large number of compact device models coded natively in the simulator Verilog A provides a convenient method to introduce new HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Getting Started compact models The J FET device model uses a simple expression to relate the source drain current to the gate voltage The simplified Verilog A description of this model is shown in below include constants vams include disciplines vams module jfet d g s parameter real Vto 2 0 from inf inf Threshold voltage parameter real Beta 1 0e 4 from 0 inf Transconductance parameter real Lambda 0 0 from 0 inf Channel modulation electrical d g s real Id Vgs Vds analog begin Vgs V g S Vds V d s if Vds Vgs Vto Id Beta 1 Lambda Vds Vds 2 Vgs Vto Vds else if Vgs Vto Vds Id Beta 1 Lambda Vds Vgs Vto Vgs Vto I d s lt Id end endmodule In this example the module name is jfet and the module has three ports named d g and s Three parameters Vto Beta and Lambda can be passed in from the netlist The electrical behavior is defined between the analog begin and end statements The node voltages across the gate to source and drain to source is accessed and assigned to the variables vgs and vgd These values are used to determine the drain source current ra The calculated current is contributed
87. DDLPATH variable in the meta cfg configuration file HSPICE amp Simulation and Analysis User Guide 61 Y 2006 03 Chapter 3 Input Netlist and Data Entry S ubcircuit Call Statement Discrete Device Libraries Set OPTION SEARCH lib path in the input netlist Use this method to list the personal libraries to search HSPICE orHSPICE RF first searches the default libraries referenced in the hspice ini file then searches libraries in the order listed in the input file Directly include a specific model using the INCLUDE statement For example to use a model named T2N2211 store the model in a file named T2N2211 inc and putthe following statement in the input file INCLUDE path T2N2211 inc This method requires you to store each model in its own inc file so itis not generally useful However you can use itto debug new models when you test only a small number of models Vendor Libraries The vendor library is the interface between commercial parts and circuit or system simulation ASIC vendors provide comprehensive cells corresponding to inverters gates latches and output buffers Memory and microprocessor vendors supply input and output buffers Interface vendors supply complete cells for simple functions and output buffers to use in generic family output Analog vendors supply behavioral models To avoid name and parameter conflicts models in vendor cell libraries should be within the subcircuit definit
88. E 5 L G D Vendelin Design of Amplifiers and Oscillators by the S Parameter Method J ohn Wiley amp Sons 1982 R S Carson High Frequency Amplifiers 2nd Edition J ohn Wiley amp Sons 1982 G Gonzalez Microwave Transistor Amplifiers Analysis and Design 2nd E dition Prentice Hall 1997 M L Edwards and J H Sinsky A single stability parameter for linear 2 port networks IEEE 1992 MTT S Symposium Digest pages 885 888 H Rothe and W Dahlke Theory of noisy fourpoles Proc IRE volume 44 pages 811 818 J une 1956 10 David E Bockeman Combined Differential and Common Mode Scattering Parameters Theory and Simulation IEEE trans on MTT Volume 43 Number 7 J ul 1995 11 Understanding Mixed Mode S parameters http www si list org files tech files Understandmm pdf 12 Robert J Weber Introduction to Microwave Circuits IEEE Press 13 Behzad Razavi Design of Analog CMOS Integrated Circuits McGraw Hill 14 R einhold Ludwig Pavel Bretchko RF Circuit Design Theory and Applications 6 LL 7 ka 8 L 9 L HSPICE 8 Simulation and Analysis User Guide 391 Y 2006 03 Chapter 11 Linear Network Parameter Analysis References 392 HSPICE Simulation and Analysis User Guide Y 2006 03 12 Using Verilog A Describes how to use Verilog A in HSPICE simulations Note You can use Verilog A in both HSPICE and HSPICE RF simulations therefore in the
89. Features cece teens Known Limitations x ocn ee deed bU eda analysis Function Behavior lsseee Simulating Variability lile INUOGUCHON e ead a Boe Sh 4 enc EE EA ees How To Define Variability in HSPICE 1 0 eee Variation Blocks Replace Previous Approaches 000 e ee aes Variation Block 5v t Re EA Ee BA d eed e ee eua des OVervieW nime wieder TREE RAE Variation Block Structure rh Geri ral SectlOniz ion mei Sada 4 Soe Ge em Meg be hal ee a Control Oplions vost race RO GA ket domes RT E ten Global and Local Variations Sub Blocks cece eee ees Independent Random Variables llle esee Dependent Rando in Variables 5 lecho eS bee eed Eben Variations of Model Parameters lisse Variations of Elem Absolute Versus R Access Functions Variation Block Example Monte Carlo Analysis Overview sss entParameters i nanasan rigiru i ee a elative Variation oana Monte Carlo Analysis in HSPICE uaaa InputSyntax Variation Block Options Simulation Output Application Considerations 422 423 423 424 428 428 431 431 431 432 433 433 434 434 435 435 436 437 438 439 442 442 443 445 445 447 448 450 451 453 xvii Contents xviii 16 DC Mismatch Analysis 0 0000 en Mismatch DE mateh Analysis 210s 8e 02 ed ues kee ee a Reed um Wee eee Input
90. For circuits that contain oscillators or ideal delay elements use OPTION DELMAX to set DELMAX to one hundredth of the period or less OPTION ACCURATE tightens the simulation options to output the most accurate set of simulation algorithms and tolerances If you set ACCURATE to 1 HSPICE or HSPICE RF uses these control options Table 45 Control Option Settings When ACCURATE 1 DVDT 2 RELVAR 0 2LVL BYPASS 0 FT FS 0 2 RELMOS 0 01 BYTOL 0 TIM 3 ABSVAR 0 2 RMAX 2 SLOPETOL 0 5 328 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Simulation S peed and Accuracy Models and Accuracy Simulation accuracy depends on the sophistication and accuracy of the models you use Advanced MOS BJ T and GaAs models provide superior results for critical applications The following model types increase simulation accuracy Algebraic models which describe parasitic interconnect capacitances as a function of the width of the transistor The wire model extension of the resistor can model the metal diffusion or poly interconnects to preserve the relationship between the physical layout and the electrical property The acm parameter in MOS models which calculates source and drain junction parasitic defaults ACM equations calculate Size ofthe bottom wall e length of the sidewall diodes length of a lightly doped structure SPICE defaults do not calculate the junction diode Specify AD AS P
91. GS LX13 Gate source capacitance CAP GD LX14 Gate drain capacitance LX15 Body source voltage not used after HSPICE release 95 3 QDS LX16 Drain source charge QDS CQDS LX17 Drain source charge current CQDS GMBS LX18 Drain body backgate transconductance GMBS HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Element Template Listings Table 38 MOSFET Name Alias Description L LV1 Channel length L W LV2 Channel width W AD LV3 Area of the drain diode AD AS LV4 Area of the source diode AS ICVDS LV5 Initial condition for drain source voltage VDS ICVGS LV6 Initial condition for gate source voltage VGS ICVBS LV7 Initial condition for bulk source voltage VBS LV8 Device polarity 1 forward reverse not used after HSPICE releases after 95 3 VTH LV9 Threshold voltage bias dependent VDSAT LV10 Saturation voltage VDSAT PD LV11 Drain diode periphery PD PS LV12 Source diode periphery PS RDS LV13 Drain resistance Squares RDS RSS LV14 Source resistance Squares RSS XQC LV15 Charge sharing coefficient XQC GDEFF LV16 Effective drain conductance 1 R Deff GSEFF LV17 Effective source conductance 1 RS eff CDSAT LV18 Drain bulk saturation current at 1 volt bias CSSAT LV19 S ource bulk saturation current at 1 volt bias VDBEFF LV20 Effective drain bulk voltage HSPICE 8 Simulation and Analysis User Guide 283 Y 2006 03 Chapter 7 S
92. HSPICE Defining variations on parameters for example param var agauss 20 1 2 3 For a discussion of this topic see see Appendix A Statistical Analysis HSPICE amp Simulation and Analysis User Guide 431 Y 2006 03 Chapter 13 Simulating Variability Variation Blocks Replace Previous Approaches Defining variations on models using 1ot and dev parameters in the model file for example vth0z0 6 1ot 0 1 dev 0 02 For a discussion of this topic see Appendix A Statistical Analysis Defining a variation block for example variation global and local variation definitions end variation For additional information see Chapter 14 Variation Block Variation Blocks Replace Previous Approaches The variation block approach is expected to replace the approaches of defining variations on parameters and models because it best fulfills the requirements for simulating Nanometer technology devices The advantages of the variation block over previous solutions are 432 The variation block consolidate variation definitions in single records A clear distinction exists between global and local variations Only global or only local variations can be selected The syntax allows for defining a local variation as a function of device geometry A new type of Monte Carlo output file allows for data mining Monte Carlo and DC mismatch analyses give consistent results HSPICE Simulation and Analysis User Guide Y 2006 03 14 Va
93. HSPICE Win Launcher Refer to your Windows documentation for details on how to do this Running Multiple Simulations 614 Use the HSPICE Launcher file browser to build a list of simulations from different directories for consecutive HSPICE processing Press Multi J obs in the main window to open the HSPICE Multi J ob window Figure 125 Simulation files are chosen from the Drive Directory list box and placed in the Files list box HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix C HSPICE GUI for Windows Running Multiple Simulations Figure 125 HSPICE Multi Jobs Window Building the Batch Job List To build a batch job list 1 Press Multi J obs in the main window 2 Usingthe Drive Directory boxes locate the directory of files that you wish to simulate 3 To copy all files in the directory press the Copy button on the right side of the Hspbat window Note that any file names already in the list will be replaced 4 To add additional files from other directories repeat Step 2 and use the Append button HSPICE Simulation and Analysis User Guide 615 Y 2006 03 Appendix C HSPICE GUI for Windows Running Multiple Simulations Simulating the Batch Job List To simulate a batch job list l To simulate all of the files in the Batch J ob list set the pulldown menu to All and press the Simulate button 2 To run simulation on a single file or a group of files set the pulldown menu to
94. I vge a4 140 0U 5 z j E m 120 0U ee cte E M p _ g EA ee a 1000 f r i Som te Ro ene jire Ii Te Le m Ts i e TO m pe ie _ i 8000 Tp i RES z 60 0U 44 7 ase zr Z EO 40 0U 4 ee I TT 0 p anm 20 0U y rs H 0 e a E 0 1 0 2 0 3 0 4 0 5 0 VOLTS LIN HSPICE 8 Simulation and Analysis User Guide 511 Y 2006 03 Chapter 19 Running Demonstration Files MOS I V and C V Plotting Demo 512 Figure 84 MOS GM Plot 59 5887U AMP LIN 55 0U 50 0U 45 0U 40 0U 35 0U 30 0U 25 0U 20 0U 15 0U 10 0U 5 0U 0 FILE MOS1VGS SP IDS VGS CV AND GM PLOTS APRIL 24 2003 14 31 48 wosrvcy ova zi Z EHN gt EHP 2 Bg rU LI xa K A a i Z leat a et DP port X boy voa ork a ee 1 0 1 0 2 0 3 0 4 0 5 0 VOLTS LIN HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files CMOS Output Driver Demo Figure 85 MOS C V Plot FILE MOS1VGS SP IDS VGS CV AND GM PLOTS APRIL 24 2003 14 42 16 13 7840F HOSC CY S 0 CG 13 0F 12 0F agtrlard ili ii 11 0F z 10 0F d S gor 8 0F 7 0F 6 0F 0 1 0 2 0 3 0 4 0 5 0 VOLTS LIN MOS I V and C V Plot Example Input File You can find the sample netlist for this example in the following directory installdir demo hspice mos mosivcv sp CMOS Output Driver Demo ASIC designers need t
95. OPTION PARHIER LOCAL Analysis sweep parameters Analysis sweep parameters PARAM statement library SUBCKT call instance SUBCKT call instance SUBCKT definition symbol SUBCKT definition symbol PARAM statement library Assigning Parameters You can assign the following types of values to parameters Constant real number Algebraic expression of real values Predefined function Function that you define Circuit value Model value To invoke the algebraic processor enclose a complex expression in single quotes A simple expression consists of one parameter name HSPICE 8 Simulation and Analysis User Guide 225 Y 2006 03 Chapter 6 Parameters and Functions Using Parameters in Simulation PARAM 226 The parameter keeps the assigned value unless A later definition changes its value or An algebraic expression assigns a new value during simulation HSPICE does not warn you if it reassigns a parameter Inline Parameter Assignments To define circuit values using a direct algebraic evaluation r1 n1 0 R 1k sqrt HERTZ Resistance for frequency Parameters in Output To use an algebraic expression as an output variable in a PRINT PLOT PROBE GRAPH Or MEASURE Statement use the PAR keyword See Chapter 7 Simulation Output for more information Example PRINT DC v 3 gain PAR v 3 v 2 PAR v 4 v 2 User Defined Function Parameters You can define a function that is
96. PJ HSPICE or HSPICE RF calculates it from the width and length specifications WP Width of polysilicon capacitor in meters for LEVEL 3 diode only Overrides WP in the diode model Default 0 0 LP Length of polysilicon capacitor in meters for LEVEL 3 diode only Overrides LP in the diode model Default 0 0 WM Width of metal capacitor in meters for LEVEL 3 diode only Overrides WM in the diode model Default 0 0 LM Length of metal capacitor in meters for LEVEL 3 diode only Overrides LM in the diode model Default 0 0 OFF Sets the initial condition for this element to OFF in DC analysis Default ON IC vd Initial voltage across the diode element Use this value when you specify the UIC option in the TRAN statement The IC statement overrides this value M Multiplier to simulate multiple diodes in parallel The M setting affects all currents capacitances and resistances Default 1 DTEMP The difference between the element temperature and the circuit temperature in degrees Celsius Default 0 0 W Width of the diode in meters LEVEL 3 diode model only L Length of the diode in meters LEVEL 3 diode model only You must specify two nodes and a model name If you specify other parameters the nodes and model name must be first and the other parameters can appear in any order Example 1 The D1 diode with anode and cathode connects to nodes 1 and 2 Diode1 specifies the diode model D1 1 2 diodel HSPICE
97. Power and Delay as Function af Sigma 3 sigmar s power sigma 3 sigmat s delay sigma sigmal Monte Carlo Results This section describes the output of the Monte Carlo analysis in HSPICE The plot in Figure 106 shows that the relationship between Tox against XL polysilicon width transistor length is completely random as set up in the input file To generate this plot in CosmosScope 1 Read in the file inv mt1 2 Open the Calculator select TOX left mouse button transfer to calculator middle mouse button and then select and transfer XL 3 Onthe WAVE pulldown in the calculator select f x and then click the plot icon 4 Using the right mouse button on the plotted waveform select Attributes to change from the line plotto symbols HSPICE 8 Simulation and Analysis User Guide 571 Y 2006 03 Appendix A Statistical Analysis Worst Case and Monte Carlo Sweep Example Figure 106 Scatter Plot XL and TOX Monte Carla Results 3 XI palyca d tox toxcd KI polycd 200 0 150 0 500n 0 0 500n xI amp poly cd The next graph see Figure 107 is a standard scatter plot showing the measured delay for the inverter pair against the Monte Carlo index number 572 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Worst Case and Monte Carlo Sweep Example Figure 107 Scatter Plot of Inverter Pair Delay Monte Carla Results in
98. RC analysis 318 346 circuit 346 optimizing 476 rcells reusing 234 real part of AC voltage 262 263 reference temperature 50 547 RELI option 298 RELMOS option 298 328 RELQ option 335 reluctors 88 RELV option 298 RELVAR option 328 repeat function 506 residual sum of squares 478 resistance 345 resistor current flow 254 element 66 element template listings 275 length parameter 67 linear 68 model name 66 node to bulk capacitance 67 voltage controlled 187 width parameter 67 reusing simulation output 274 RLOAD model parameter 203 RMAX option 336 RMIN option 336 rms value measuring 271 RSH model parameter 549 Index S S19NAME model parameter 204 S 19VHI model parameter 204 S 19VLO model parameter 204 S1NAME model parameter 204 S1VHI model parameter 204 S1VLO model parameter 204 saturable core elements 81 82 286 models 80 82 winding names 286 SAVE statement 294 scale factors 34 SCALE parameter 66 175 182 191 197 508 scaling effect on delays 522 scattering S parameters 260 schematic netlists 37 scope of parameters 234 scratch files 21 SEARCH option 63 520 search path setting 51 SENS statement 296 SFFM source function carrier frequency 144 modulation index 144 output amplitude 144 output offset 144 signal frequency 144 sgn x function 230 shorted nodes 306 sign function 230 SIGNAME element parameter 203 signed power function 229 silicon on sapphire devices 49 SIM ANALOG option 87 SIM LA option
99. Selected and select those files you wish to simulate from the Batch J ob list box Use the left mouse button to select a single file Press and hold the Control key and select another file with the left mouse button to add to the selected list Press and hold the Shift key to select all files between the current file and the last selected file 3 Press the Simulate button to start the consecutive simulations Using the Drag and drop Functions The HSPICE Multi J obs window provides a drag and rop capability to remove files from the list edit files run simulations and view the results with AvanWaves Beside the icons the user also can use the Text Editor box to view and editthe design file design sp To do this drag and drop the file from the upper list box to the bottom one The file contents are displayed in the bottom editor for the user to view and or edit To display files associated with a design double click on the upper list box on the selected design file lt design gt sp file All associated files tr ac sw mt are listed in the bottom list box 616 HSPICE Simulation and Analysis User Guide Y 2006 03 Symbols IGND node 47 installdir installation directory 61 A A2D function 199 model parameter 199 output model parameters 203 See also mixed mode a2d file 15 17 199 ABS element parameter 196 abs x function 229 ABSI option 298 ABSMOS option 298 absolute power function 229 v
100. Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Active Elements Example 2 The Dprot diode with anode and cathode connects to both the output node and ground references the firstd diode model and specifies an area of 10 unitless for LEVEL 1 model The initial condition has the diode OFF Dprot output gnd firstd 10 OFF Example 3 The Ddrive diode with anode and cathode connects to the driver and output nodes The width and length are 500 microns This diode references the model d diode model Ddrive driver output model d W 5e 4 L 5e 4 IC 0 2 Bipolar Junction Transistor BJT Element Oxxx nc nb ne ns mname area OFF IC vbeval vceval M val lt DTEMP val gt Oxxx nc nb ne ns mname AREA area lt AREAB val gt AREAC val OFF lt VBE vbeval gt lt VCE vceval gt lt M val gt lt DTEMP val gt Parameter Description Q xxx BJ T element name Must begin with Q then up to 1023 alphanumeric characters nc Collector terminal node name nb Base terminal node name ne Emitter terminal node name ns Substrate terminal node name which is optional You can also use the BULK parameter to set this name in the BJ T model mname BJ T model name reference area Emitter area multiplying factor which affects currents resistances and AREA area capacitances Default 1 0 OFF Sets initial condition for this element to OFF in DC analysis Default ON HSPICE 8 Simulation
101. Sources H Elements Parameter Description ABS Output is an absolute value if ABS 21 CCVS Keyword for the current controlled voltage source CCVS is a HSPICE reserved keyword do not use itas a node name DELAY Keyword for the delay element Same as for a current controlled voltage source but has an associated propagation delay TD Use this element to adjust the propagation delay in the macro subcircuit model process DELAY is a HSPICE reserved keyword do not use itas a node name DELTA Controls curvature of piecewise linear corners The defaultis 1 4 of the smallest distance between breakpoints Maximum is 1 2 of the smallest distance between breakpoints gatetype k Can be AND NAND OR or NOR The k value is the number of inputs of the gate The x and y terms are the piecewise linear variation of the output as a function of the input In multi input gates one input determines the output state HXxx Element name of current controlled voltage source Must start with H followed by up to 1023 alphanumeric characters IC Initial condition estimate of the controlling current s in amps If you do not specify IC the default 0 0 MAX Maximum voltage Default is undefined sets no maximum MIN Minimum voltage Default is undefined sets no minimum n Connecting nodes for positive or negative controlled source NDIM Number of polynomial dimensions If you do not specify POLY NDIM HSPICE orHSPICE RF assumes a one di
102. Speed and Accuracy Convergence is the ability to solve a set of circuit equations within specified tolerances and within a specified number of iterations In numerical circuit simulation you can specify relative and absolute accuracy for the circuit solution The simulator iteration algorithm attempts to converge to a solution that is within these set tolerances If consecutive simulations achieve results within the specified accuracy tolerances circuit simulation has converged How quickly the simulator converges is often a primary concern to a designer especially for preliminary design trials So designers willingly sacrifice some accuracy for simulations that converge quickly Simulation Speed HSPICE or HSPICE RF can substantially reduce the computer time needed to solve complex problems Use the following options to alter the internal algorithms to increase simulation efficiency OPTION FAST sets additional options which increase simulation speed with minimal loss of accuracy OPTION AUTOSTOP terminates the simulation after completing all MEASURE statements This is of special interest when testing corners Simulation Accuracy In HSPICE or HSPICE RF the default control option values aim for superior accuracy within an acceptable amount of simulation time The control options and their default settings to maximize accuracy are DVDT24 LVLTIM 1 RMAX 5 SLOPETOL20 75 FT2FS20 25 BY PASS 1 BYTOL MBY PASS x VNTOL
103. Syntax DCmatch Table Output sannana naa Output From PROBE and MEASURE Commands 05 Syntax for PROBE Command 0 00 cece eee eee Syntax for MEASURE Command 0 0 0 cece eee Practical Considerations 0 0 cece DCmatch Variability as a Function of Device Geometry Parameter Traceability essere Example References 17 Optimization Overview Optimization CONTO Neia sed co vut obice SCR ertt et ev Aiea Simulation Accuracy isses ees Curve FitOptimization cere e ee RR E s Goal Optimizations x vitre pps wr chr P p UA Timing Analysis skr hue hee ead edn Met ea ee aa Optimization Statements 22 2ah ei ce eet ak ees Bae be a Bee Optimizing Analysis DC TRAN AC 0 0 cece eee eee Optimization Examples cass wide ed pe EM Pea S MOS Level 3 Model DC Optimization 0 MOS Level 13 Model DC Optimization 0 RC Network Optimization isses esee Optimizing CMOS Tristate Buffer 0 0 eee eee eee BJT S Parameters Optimization 00 0 0 e eee eee BJT Model DC Optimization Optimizing GaAsFET Model DC 00 00 c eee eee Optimizing MOS Op amp 1 cee 18 RC Reduction Linear Acceleration uee nensi np LS ES ER ERA RA P Rew Miro I RR PAG Algorithm eene Ex ERR RUESERTIRESEIS PI Algorithm 455 455 455 456 458 460 460 461 461 461 462
104. Temperature Coefficients Demo Figure 89 Asic1 sp Demo Input and Output Signals PARAM LIN FILE ASIC1 SP GROUND BOUNCE FOR I O CMOS DRIVER APRIL 24 2004 15 39 18 RSICL TRO 325 0M POYER 300 0M pparcaascrcya 275 0M PARCABSCICYS 250 0M i 225 0M 2 200 0M E 175 0M z 150 0M Tr 125 0M oa E 100 0M Nis D 2 75 0M ee OE deor op ee E Z Z 50 0M AES OUI TE er ic euer Ed a DL doro Me ORG a Sy OE 0 5 0N 10 0N 15 0N 20 0N 25 0N 30 0N TIME LIN CMOS Output Driver Example Input File You can find the sample netlist for this example in the following directory Sinstalldir demo hspice apps asicl sp Temperature Coefficients Demo 518 SPICE type simulators do not always automatically compensate for variations in temperature The simulators make many assumptions that are not valid for all technologies Many of the critical model parameters in HSPICE or HSPICE RF provide first order and second order temperature coefficients to ensure accurate simulations HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Temperature Coefficients Demo You can optimize these temperature coefficients in either of two ways The first method uses the TEMP DC sweep variable All analysis sweeps allow two sweep variables To optimize the temperature coefficients one of these must be the optimize variable Sweeping TEMP limits the compo
105. UNIFORM AND LIMIT FUNCTIONS 119 182 MM dA C S X S a a 53 RUNI 1 1002 4 eee a eA a lt A A Pe A r 2 TOO0 Ec po EO DEP ES cv AU CER Io ere AC ASA BA 08 P a Z 90 0 5 a AX E x 2 d A An e E B D a qs e d xu na nta x Sos e LP e CN ema ni A MA a AAA RUNIF 10 110 0 acs a 3 100 0 lt 90 0 lc me z 80 0402 Zia a oad 7t if s ias iT ajA a BE A a P8 1 0 10 0 20 0 30 0 40 0 50 0 60 0 MONTE CARLO LIN HSPICE 8 Simulation and Analysis User Guide Y 2006 03 561 Appendix A Statistical Analysis Monte Carlo Analysis Figure 99 Gaussian Functions MONTI SP TEST OF MONTE CARLO GAUSSIAN UNIFORM AND LIMIT FUNCTIONS May 152 11 41 2 DAC nea o i l MONTI SV 115 0 lt e 5 4 ee red lt Bares x Le 1100 Sage m2 a puru de A A A7 z aA EN LES sitis x CR EN x E e aig 105 0 A JA n F AA MB A X DUNS DA A 100 0 were ee ABC x c BE A Lari E Mu EE NA z i aes z A A A 95 0 a cM ee MET E m E A h A 3 amp E 900 5A lt ee ae a a Oo i i i i i gt t l LI LI 4 aa LI l LI LI LI MONT sv 2 118375 xs ML ee ia RGAUSS la 4 RENNES PLE LENS ek UE 4 a A 4 100 0 UP s d A a A A 900 54 e uae amp Mm 08 5 T 07A S z 4 a asia gt 0a 80 9998 ai 1 1 14 7i a a a doa a oa oar doa oa or or doa oa od Lor or 1 0 10
106. User Defined Analysis MEASURE on page 267 PRINT PROBE PLOT and GRAPH Parameters PRINT PROBE PLOT and GRAPH statements in HSPICE produce a print parameter The rules for print parameters are the same as the rules for standard parameters except that you define the parameter directly in a PRINT PROBE PLOT Or GRAPH Statement notin a PARAM statement HSPICE RF does notsupport PLOT or GRAPH statements For more information about the PRINT PROBE PLOT Of GRAPH statements see Displaying Simulation Results on page 243 Multiply Parameter The most basic subcircuit parameter in HSPICE is the M multiply parameter For a description of this parameter see M Multiply Parameter on page 58 HSPICE amp Simulation and Analysis User Guide 22 Y 2006 03 Chapter 6 Parameters and Functions Using Algebraic Expressions Using Algebraic Expressions 228 Note S ynopsys HSPICE uses double precision numbers 15 digits for expressions user defined parameters and sweep variables For better precision use parameters instead of constants in algebraic expressions because constants are only single precision numbers 7 digits In HSPICE an algebraic expression with quoted strings can replace any parameter in the netlist In HSPICE you can then use these expressions as output variables in PLOT PRINT and GRAPH statements Algebraic expressions can expand your options in an input netlist file
107. all license tokens Running HSPICE RF Simulations Use the following syntax to invoke HSPICE RF hspicerf a inputfile outputfile h v For a description of the hspicerf command syntax and arguments see HSPICE RF Command Syntax in the HSPICE Command Reference 22 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 2 Setup and Simulation Running HSPICE Interactively Running HSPICE Interactively When HSPICE is in the interactive mode you can then use these HSPICE commands at the HSPICE prompt to help you simulate circuits interactively ac statement cd dc statement edit help info outflag input list lineno load filename Is directory measure statement op print lt tran ac dc gt v vm vr vi vp vdb gt pwd quit run save netlist Command filename set outflag lt true false gt tran statement To Start Interactive Mode Starting HSPICE in the interactive mode lets you use a subset of commands to simulate your circuits interactively To invoke the interactive mode enter hspice I You can also use the help command at the HSPICE prompt for an annotated list of the commands supported in the interactive mode The interactive mode also supports saving commands into a script file To save the commands that you use and replay them later enter HSPICE save command filename HSPICE 8 Simulation and Analysis User Guide 23 Y 2006 03 Chapter 2 Setup and Simulation Runn
108. alone Compiler Verilog A modules used in HSPICE simulations are automatically compiled and cached by the simulator You can compile files manually if you wish to check syntax for example The Verilog A compiler takes a Verilog A file as an input and produces a Compiled Model Library CML file which is a platform specific shared library HSPICE 8 Simulation and Analysis User Guide 421 Y 2006 03 Chapter 12 Using Verilog A Setting Environment Option for HSPICE Verilog A Compiler Example 1 hsp vacomp resistor va The Verilog A compiler hsp vacomp compiles the Verilog A module file resistor va and produces a CML file resistor cml in the same directory You can include the CML file in the same manner as the Verilog A file in an HSPICE netlist Example 2 hdl resistor cml Note When a CML file is specified in the load command the compiler is never invoked even if the source file is modified Setting Environment Option for HSPICE Verilog A Compiler While Verilog A modules are automatically compiled in HSPICE simulation you can set environment variable HSP VACOMP OPTIONS to control compiler options from default setting Example 1 setenv HSP VACOMP OPTIONS G When G is set all internal variables are accessible for output Example 2 setenv HSP VACOMP OPTIONS B When B is set all internal named branches are accessible for output The Compiled Model Library Cache 422 The HSPICE Verilog A solution provi
109. amc1747 sp characteristics of Motorola internally compensated high performance op amp HSPICE Simulation and Analysis User Guide 531 Chapter 19 Running Demonstration Files Demonstration Input F iles File Name Description ane5534 sp characteristics of TI low noise high speed op amp anjm4558 sp characteristics of TI dual op amp anjm4559 sp characteristics of TI dual op amp anjm4560 sp characteristics of TI dual op amp aop04 sp characteristics of PMI op amp aop07 sp characteristics of PMI ultra low offset voltage op amp aop14 sp characteristics of PMI op amp aop15b sp characteristics of PMI precision J FET input op amp aopl6b sp characteristics of PMI precision J FET input op amp at094cns sp characteristics of Tl op amp atl071c sp characteristics of TI low noise op amp atl072c sp characteristics of TI low noise op amp atl074c sp characteristics of TI low noise op amp atl081c sp characteristics of TIJ FET op amp atl082c sp characteristics of TIJ FET op amp atl084c sp characteristics of TIJ FET op amp atl092cp sp characteristics of Tl op amp atl094cn sp characteristics of Tl op amp aupc358 sp characteristics of NEC general dual op amp aupcl251 sp characteristics of NEC general dual op amp j2n3330 sp characteristics of J FET 2n3330 I V mirf340 sp characteristics of IRF 340 l V HSPICE Simulation
110. an Input Signal Continued L Resistive drive to ZERO gnd An OUT or ouTZ statement defines resistance value H Resistive drive to ONE vdd An oUT or oUTZ statement defines resistance value U u Drive to ZERO gnd Resistance set to 0 Expected Output HSPICE or HSPICE RF converts each output signal intoa DOUT statementin the netlist During simulation HSPICE or HSPICE RF compares the actual results with the expected output vector s If the states are different an error message appears The legal states for expected outputs include the values listed in Table 15 Table 15 Legal States for an Output Signal State Description 0 Expect ZERO 1 Expect ONE X X Don t care U u Don t care Z Z Expect HIGH IMPEDANCE don tcare Simulation evaluates Z z as don t care because HSPICE or HSPICE RF cannot detect a high impedance State For example IO 0000 Start of tabular section data 11 0 1001 20 0 1100 30 0 1000 35 0 xx00 HSPICE Simulation and Analysis User Guide 213 Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File 214 Where The first line is a comment line because of the semicolon character The second line expects the output to be 1 for the first and fourth vectors while all others are expected to be low At 20 time units HSPICE or HSPICE RF expects the first and second vectors to be high and the third and fourth to be low At 30 time un
111. an optional model When you specify a model you can add or change graphing properties for the graph The GRAPH statement generates a gr graph data HSPICE amp Simulation and Analysis User Guide 245 Y 2006 03 Chapter 7 Simulation Output Displaying Simulation R esults file and sends this file directly to the default high resolution graphical device to specify this device set PRTDEFAULT in the meta cfg configuration file MODEL Statement for GRAPH For a description of how to use the MODEL statement with GRAPH see the MODEL command in the HSPICE Command Reference HSPICE RF does not supportthe GRAPH statement Table 28 Model Parameters Name Alias Default Description MONO 0 0 Monotonic option MONO 1 automatically resets the x axis if any change occurs in the x direction TIC 0 0 Shows tick marks FREQ 0 0 Plots symbol frequency A value of 0 does not generate plot symbols A value of n generates a plot symbol every n points This is notthe same as the FREQ keyword in element statements see the Modeling Filters and Networks chapter in the HSPICE Applications Manual XGRID YGRID 0 0 Set these values to 1 0 to turn on the axis grid lines XMIN XMAX 0 0 fXMIN is notequalto XMAX then XMIN and XMAX determine the x axis plot limits If XMIN equals XMAX or if you do not set XMIN and XMAX then HSPICE automatically sets the plot limits These limits apply to the actual x axis variab
112. as parameters The DATA statements contain data for IDS versus VDS VGS and VBS Select data that matches the model parameters to optimize Example To optimize GAMMA use data with back bias VBS 2 in this case To optimize KAPPA the saturation region must contain data In this example the all data set contains m Gate curves vds 0 1 vbs 0 2 vgs 1 to 5 in steps of 0 25 Drain curves vbs 0 vgs 2 3 4 5 vds 0 25 to 5 in steps of 0 25 Figure 65 shows the results HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview Figure 65 Level 3 MOSFET Optimization LEVEL 8 MOSFET OPTIMIZATION APRIL 22 2004 4 58 09 381 270U a OPTLEVELS 90 IM 300 0U lo d 3 a 200 0U gt r z lt 100 0U i 07 Aias aalit bares barra tbo aa radar aatarrad 1 0 1 50 2 0 2 5 3 0 3 5 4 0 4 50 5 0 YOS LIN MT D u OPTLEVELS 90 cem IM z 3 REESSMUESE zi IO a a Z lt z erus allt a MOS Level 13 Model DC Optimization This example shows I V data optimization to a Level 13 MOS model The data consists of gate curves ids versus vgs and drain curves ids versus vds This example demonstrates two stage optimization 1 HSPICE optimizes the v 50 k1 muz x2m and u00 Level 13 parameters to the gate data 2 HSPICE optimizes the MUS X3MS and U1 Level 13 parameters and the ALPHA impact ionization parame
113. by up to 1023 alphanumeric characters in Signal input node refin Ground reference for the input signal out Signal output node HSPICE amp Simulation and Analysis User Guide Y 2006 03 105 Chapter 4 Elements Transmission Lines refout Z0 TD L IC v1 i1 v2 i2 NL mname Ground reference for the output signal Characteristic impedance of the transmission line Signal delay from a transmission line in seconds per meter Physical length of the transmission line in units of meters Default 1 Initial conditions of the transmission line Specify the voltage on the input port v1 current into the input port i1 voltage on the output port v2 and the current into the output port i2 Frequency at which the transmission line has the electrical length specified in NL Normalized electrical length of the transmission line at the frequency specified in the F parameter in units of wavelengths per line length Default 0 25 which is a quarter wavelength U model reference name A lossy transmission line model representing the characteristics of the lossless transmission line Only one input and output port is allowed Example 1 The T1 transmission line connects the in node to the out node T1 in gnd out gnd Z0 50 TD 5n L 5 Both signal references are grounded Impedance is 50 ohms The transmission delay is 5 nanoseconds per meter The transmission line is 5 meters long
114. c eee eee Nodal Voltage Current Independent Voltage Sources 0 0 e eee ee Current Element Branches 0 0 ccc e Current SubcircuitPin ees Group Time Delay 0 0 2c II Network Noise and Distortion sssslses esee Element Template Output iliis ees Specifying User Defined Analysis MEASURE ss sees MEASURE Statement Order 0 0 0 eee MEASURE Parameter Types 0 0 00 cece tees FIND and WHEN Fun Equation Evaluation GUO MS ico es ee lu tells Bt he Ae RE LIA Average RMS MIN MAX INTEG and PP cece eee ene INTEGRAL Function 243 244 244 245 245 246 247 248 248 249 249 251 251 251 252 252 252 256 256 257 257 258 259 259 260 261 261 263 263 264 264 265 266 266 267 268 269 270 270 271 271 Xi Contents xii DERIVATIVE Function ERROR Function Error Equations Reusing Simulation Output as Input Stimuli Output Files 00 Element Template Listings Initializing DC Operating Point Analysis Simulation Flow 2 cee ee Initial Conditions 000 0 SAVE and LOAD Statements SSAVE Statement LOAD Statement DC Statement DC Sweeps Other DC Analysis Statements DC Initialization Control Options Accuracy and Convergence Accur
115. context of this chapter HSPICE refers to both HSPICE and HSPICE RF unless noted otherwise Verilog A is used to create and use analog behavioral descriptions that encapsulate high level behavioral and structural descriptions of systems and components The language allows the behavior of each model or module to be described mathematically in terms of its ports and parameters applied to an instance of the module A module can be defined ata level of abstraction appropriate for the model and analysis including architectural design and verification Verilog A supports both a top down design as well as a bottom up verification methodology Verilog A was derived from the IEEE 1364 Verilog Hardware Description Language HDL specification and is intended for describing behavior in analog systems The Verilog A language that HSPICE supports is compliant with Verilog AMS Language Reference Manual Version 2 2 with limitations listed in Unsupported Language Features on page 424 The Verilog A implementation in HSPICE supports a mixed design of Verilog A descriptions and transistor level SPICE netlists with a simple use model Most analysis features available in HSPICE are supported for Verilog A based devices including AC DC transient analysis statistical analysis and optimization The HSPICE RF supported analysis types are HB HBOSC HBAC HBNOISE HBXF PHASENOISE and ENV HSPICE amp Simulation and Analysis User Guide 393 Y 2006 03
116. control options also affect the timestep and therefore affect the simulation accuracy Use OPTION RELVAR and OPTION ABSVAR With the DVDT option to improve simulation time or accuracy For faster simulation time increase RELVAR and ABSVAR but this might decrease accuracy Note If you need backward compatibility use these options Setting OPTTON DVDT 3 automatically sets all of these values LVLTIM 1 RMAX 2 SLOPETOL 0 5 FT FS 0 25 BYPASS 0 BYTOL 0 050 Timestep Controls in HSPICE The RMIN RMAX FS FT and DELMAX control options define the minimum and maximum internal timestep for the DVDT dynamic timestep algorithm If the timestep is below the minimum program execution stops For example if the timestep becomes less than the minimum internal timestep defined as TSTEP RMIN HSPICE reports an internal timestep too small error Note TSTEP is the time increment set in the TRAN statement RMIN is the minimum timestep coefficient Default is 1e 9 If you set OPTION DELMAX HSPICE uses DvpT 0 If you do not specify OPTION DELMAX then HSPICE computes a DELMAX value For DVDT 0 1 or 2 the maximum internal timestep is min TSTOP 50 DELMAX TSTEP RMAX The TSTOP time is the transient sweep range as set in the TRAN statement One exception is in the autospeedup process When dealing with large non linear circuit with very big TSTOP or TSTEP values for example TRAN 1n 1 HSPICE Simulation and
117. current print I x1 br1 Output Bus Signals Verilog A bus signals can be accessed with HSPICE output commands using the Verilog A naming and accessing conventions Example Given an example Verilog A module module my bus in out electrical in electrical 1 4 out And instantiated in the netlist as x11234 5 my bus then the values of the vector port out can be output by explicitly listing each position print v xl out 1 v xl out 2 v xl out 3 v xl out 4 Bus elements can also be specified using wildcards as described in the section Using Wildcards in Verilog A HSPICE only on page 420 418 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices Output Internal Module Variables HSPICE only Verilog A internal variables by default are hidden from output However module variables with a description or units attribute or both are known as output variables and HSPICE provides access to their values for example suppose a module for a MOS transistor with the following declaration at module scope provides the output variable cgs desc gate source capacitance units F real cgs The cgs module variable can be printed just like a normal parameter variable In addition HSPICE Verilog A provides a compilation environment variable HSP VACOMP OPTION With G option being set you can use HSPICE output command to access internal module v
118. default range 4 N User defined cumulative distribution function C DF xyPairs If f x is the probability density of a random variable x then the cumulative distribution function is the integral of f x A cumulative distribution function can be approximated by a piecewise linearfunction which can be described as a sequence of pairs of points xi yi The following rules apply a atleasttwo pairs are required b white space or a comma is required between each number C the CDF starts at zero y1 0 d CDF ends at one yn 1 e xi values must be monotonically increasing xi 1 gt xi f yi values must be monotonically non decreasing yitl gt yi g the CDF must have zero mean x1 xn Example parameter var CDF 0 1 0 0 05 0 5 0 05 0 5 0 1 1 0 436 di ander OUS id 50 0m 00 500m 041 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 14 Variation Block Variation Block Structure The distributions N and U do not accept any arguments The syntax for defining independent random variables is parameter a U b N CSCDE XlI yl i xn yn These distributions cannot be referenced within expressions variables must be assigned and the variables can be used within expressions Dependent Random Variables To model distributions which are more complex than the ones which are available through the predefined independent random variables transformations can be applied by using expressions on ind
119. distribution to the PHOTO local parameter XPHOTO controls PHOTO lithography for both NMOS and PMOS devices which is consistent with the physics of manufacturing RC Time Constant This simple example shows uniform distribution for resistance and capacitance It also shows the resulting transient waveforms for 10 different random values This example is based on demonstration netlist rc_monte sp which is available in directory lt installdir gt demo hspice apps FILE MON1 SP WITH UNIFORM DISTRIBUTION OPTION LIST POST PARAM RX UNIF 1 25 CX UNIF 1 25 TRAN 1 1 SWEEP MONTE 10 ECCE lL R1 1 0 RX C110 CX PRINT I R1 I C1 END HSPICE 8 Simulation and Analysis User Guide 565 Y 2006 03 Appendix A Statistical Analysis Monte Carlo Analysis Figure 102 Monte Carlo Analysis of RC Time Constant 992 750N 900 0N 800 0N 700 0N VOLT LIN 600 0N 500 0N 400 0N 300 0N FILE NOM1 SP WITH UNIFORM DISTRIBUTION May 152 12 38 4 Ed ax d _ MONT1 SVO k i 1 E LORS _ amp a ME S 4 ES T 10 9 T 8 n 7 5 B 26 EAE 3 d of I l i I pag I Dog I L d 1 1 0 200 0N 400 0N 600 0N 800 0N 1 0 TIME LIN 566 Switched Capacitor Filter Design Capacitors used in switched capacitor filters consist of parallel connections of a basic cell Use Monte Carlo techniques in HSPICE to estimate the variation in total capacitance The capacitance calculat
120. drain junction current Icbs Capacitive component of the bulk source junction current HSPICE 8 Simulation and Analysis User Guide 259 Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters Parameter Description Icgd Capacitive component of the gate drain current Icgs Capacitive component of the gate source current Ido DC component of the drain current Pd Power dissipated in the MOSFET Vbd Voltage across the bulk drain junction Vbs Voltage across the bulk source junction Vd s Voltage across the internal drain source terminals Vdd Voltage across the series drain resistance RD Vs s Voltage across the series source resistance RS AC Analysis Output Variables Output variables for AC analysis include m Voltage differences between specified nodes or between one specified node and ground Current output for an independent voltage source Current output for a subcircuit pin Element branch current Impedance Z admittance Y hybrid H and scattering S parameters Input and output impedance and admittance Table 24 lists AC output variable types In this table the type symbol is appended to the variable symbol to form the output variable name For example VI is the imaginary part of the voltage or IM is the magnitude of the current 260 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output
121. latter one is ignored and the simulator issues a warning message fa Verilog A module file is not found or the Compiled Model Library CML file has an incompatible version the simulation exits and an error message is issued Instantiating Verilog A Devices Verilog A devices are X elements A Verilog A device can have zero or more nodes and can accept zero or more parameter assignments Verilog A devices also support the concept of a model card In either instance statements or model card statements invalid parameters that are not predefined in the Verilog A module file are ignored HSPICE issues a warning message on those invalid parameters Syntax X inst nodes moduleName ModelName param value Verilog A module definitions are unique in each HSPICE simulation A Verilog A module that matches the name or differs only in case of a previously loaded module is ignored A Verilog A module definition is ignored if its name conflicts with HSPICE built in models For any X element the default search order to find the cell definition is l HSPICE subcircuit definition 2 Verilog A model card 3 Verilog A module definition HSPICE 8 Simulation and Analysis User Guide 409 Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices 410 Example Suppose you have the following HSPICE netlist fragment hdl mydiode X1 a b mydiode model mydiode D In this example the simulation fails even though t
122. lt RB val gt lt R repeat gt lt gt 148 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Parameter Description V xxx XXX PAT vhi vlo td tr tsample data RB R repeat Independent voltage source that exhibits a pattern response Keyword for a pattern time varying source High voltage or current value for pattern sources units of volts Or amps Low voltage or current value for pattern sources units of volts or amps Delay propagation time in seconds from the beginning of the transient interval to the first onset ramp It can be negative The state in the delay time is the same as the first state specified in data Duration of the onset ramp in seconds from the low value to the high value reverse transit time Duration of the recovery ramp in seconds from the high value back to the low value forward transit time Time spent at 0 or 1 or M or Z pattern value in seconds String of 1 0 M Z representing a pattern source The first alphabet must be B which represents itis a binary bit stream This series is called b string 1 represents the high voltage or current value 0 is the low voltage or current value M represents the value which is equal to 0 5 vhi vlo Z represents the high impedance state only for voltage source Keyword to specify the starting bit when repeating The
123. many times as necessary pini pin2 pinN Indicates a choice among alternatives such as low medium high Indicates a continuation of a command line Indicates levels of directory structure Edit gt Copy Indicates a path to a menu command such as opening the Edit menu and choosing Copy Control c Indicates a keyboard combination such as holding down the Control key and pressing c Customer Support xxviii Customer support is available through SolvNet online customer support and through contacting the Synopsys Technical Support Center Accessing SolvNet SolvNet includes an electronic knowledge base of technical articles and answers to frequently asked questions about S ynopsys tools SolvNet also gives you access to a wide range of Synopsys online services which include downloading software viewing Documentation on the Web and entering a call to the Support Center To access SolvNet l Go to the SolvNet Web page at http solvnet synopsys com 2 If prompted enter your user name and password If you do not have a Synopsys user name and password follow the instructions to register with SolvNet If you need help using SolvNet click Help on the SolvNet menu bar HSPICE Simulation and Analysis User Guide Y 2006 03 About This Manual Customer S upport Contacting the Synopsys Technical Support Center If you have problems questions or suggestions you can contactthe S ynopsys Technical S up
124. model S model name S FQMODEL sp_model_name TSTONEFILE filename CITIFILE filename TYPE S Y Z gt FBASE base frequency FMAX max frequency Zo 50 vector value Zof ref model HIGHPASS 0 1 2 gt lt LOWPASS 0 1 2 gt lt DELAYHANDLE 0 1 gt lt DELAYFREQ val gt Parameter Description nd1 nd2 ndN Nodes of an S element see Figure 14 on page 115 Three kinds of definitions are present With no reference node ndRef the default reference node in this situation is GND Each node ndi i 1 N and GND construct one of the N ports of the S element With one reference node ndRef is defined Each node ndi i l N and the ndRef construct one of the N ports of the S element With an N reference node each port has its own reference node You can write the node definition in a clearer way as nd1l ndl nd2 nd2 ndN ndN Each pair of the nodes ndi and ndi iz1 N constructs one of the N ports of the S element HSPICE Simulation and Analysis User Guide 111 Y 2006 03 Chapter 4 Elements Transmission Lines Parameter Description nd ref or NdR MNAME FQMODEL TSTONEFILE CITIFILE TYPE Zo 112 Reference node Name of the S model Frequency behavior of the S Y or Z parameters MODEL statement of sp type which defines the frequency dependent matrices array Name of a Touchstone file Data contains frequency depe
125. must be a positive number PWL Keyword for the piecewise linear function SCALE Multiplier for the element value TC1 TC2 First order and second order temperature coefficients Temperature changes update the SCALE SCALEeff SCALE 1 TC1 At TC2 At TD Keyword for the time propagation delay TRANSFORMER Keyword for an ideal transformer TRANSFORMER is a reserved or LEVEL 2 word do not use it as a node name VCVS Keyword for a voltage controlled voltage source VCVS is a reserved word do not use it as a node name xb Controlling voltage across the in and in nodes The x values must be in increasing order Vues Corresponding element values of x HSPICE amp Simulation and Analysis User Guide 175 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements 176 E Element Examples Ideal OpAmp You can use the voltage controlled voltage source to build a voltage amplifier with supply limits The output voltage across nodes 2 3 is v 14 1 2 The value of the voltage gain parameter is 2 The MAX parameter sets a maximum E1 voltage of 5 V The MIN parameter sets a minimum E1 voltage output of 5 V Example If V 14 1 4V then HSPICE or HSPICE RF sets E1 to 5V and not 8V as the equation suggests Eopamp 2 3 14 1 MAX 5 MIN 5 2 0 To specify a value for polynomial coefficient parameters use the following format PARAM CU 2 0 E123 14 1 MAX 5 MIN 5 CU Voltag
126. my local cache If the previous example were now simulated the CML file would be users finn my local cache 1 30 users finn modules lib arch amp cml Deleting the Cache The cache structure is maintained unless you choose to delete it manually You can do this any time HSPICE automatically recreates the cache when needed One reason to delete the cache is if a newer version of the HSPICE Verilog A compiler is used and the previous cache is no longer necessary The cache can be deleted using conventional operating system commands Example To delete the default cache from the operating system command prompt execute rm r hsp model cache HSPICE 8 Simulation and Analysis User Guide 423 Y 2006 03 Chapter 12 Using Verilog A Unsupported Language Features Unsupported Language Features 424 The following Verilog A LRM 2 1 Language Features are not supported Escaped identifiers real bus index Not supported Derived natures described in LRM 2 1 section 3 4 1 1 For example the following deriving the nature New currfrom Ttl curr is not supported nature Ttl curr units A access I abstol lu endnature The derived nature is not supported nature New curr Ttl curr abstol 1m maxval 12 3 endnature Input output and inout enforcement described in LRM 2 1 section 7 1 module test in out electrical in out input in output out real out_value analog begin out_valu
127. names HSPICE or HSPICE RF issues an error message if it encounters a measurement parameter with the same name as a standard parameter definition To prevent MEASURE statement parameters from overwriting parameter values in other statements HSPICE or HSPICE RF keeps track of parameter types If you use the same parameter name in both a MEASURE statement and a PARAM statement at the same hierarchical level simulation terminates and reports an error No error occurs if parameter assignments are at different hierarchical levels PRINT statements that occur at different levels do not print hierarchical information for parameter name headings Example In HSPICE RF simulation output you cannot apply MEASURE to waveforms generated from another MEASURE statement in a parameter sweep The following example illustrates how HSPICE or HSPICE RF handles MEASURE statement parameters MEASURE tran length TRIG v clk VAL 1 4 TD 11ns RISE 1 TARGv neq VAL 1 4 TD 11ns RISE 1 SUBCKT path out in width 0 9u length 600u rm1 in ml m2mg w width l length 6 ENDS In the above listing the ength in the resistor statement rml in ml m2mg w width l length 6 does not inherit its value from length in the MEASURE statement HSPICE 8 Simulation and Analysis User Guide 269 Y 2006 03 Chapter 7 Simulation Output Specifying User Defined Analysis MEASURE 270 MEASURE tran length because they are of different ty
128. of these parameter types S scattering parameters Y admittance parameters Z impedance parameters H hybrid parameters mn refers to a pair of port numbers where m can be 1 or 2 and n can be 1 or 2 Hmn Complex hybrid H parameters H m n mn refers to a pair of port numbers where m can be 1 or 2 and n can be 1 or 2 If m n 0 or m n22 HSPICE issues a warning and ignores the output request To calculate a one port H parameter you must specify at least one port P element To calculate a two port H parameter you must specify two or more port P elements For additional information see Hybrid Parameter Calculations on page 359 HSPICE amp Simulation and Analysis User Guide 357 Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis Argument Description LINPARAM Two port noise parameters NFMIN noise figure minimum NF Noise figure VN2 Equivalent input noise voltage squared N2 Equivalent input noise current squared RHON Correlation coefficient between input noise voltage and input noise current RN Noise equivalent resistance GN Noise equivalent conductance ZCOR Noise correlation impedance m YCOR Noise correlation admittance ZOPT Optimum source impedance for noise YOPT Optimum source admittance for noise GAMMA OPT source reflection coefficient that achieves the minimum noise figure ZOPT source impedance that achiev
129. on each device due to local variations on the same parameters are reported next and finally the local variation on the parameter r of the element r0 are reported Note that HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 15 Monte Carlo Analysis Application Considerations the parameter value applied to the device for a particular sample is the nominal value plus the reported change due to global variations plus the reported change due to local variations The contents of this parameter file are useful for data mining In connection with the measured data in the regular output file the relationship of circuit response variation to parameter variation can be investigated by using for example a Pairs plot as shown in Figure 63 on page 446 If a simulation fails without creating a result HSPICE currently substitutes the results of the previous sample which can be misleading when analyzing the relationship between results and underlying parameters The information in the status column of the result file can be used to skip the incorrect data Application Considerations If too large variations are applied caused by the combinations of variation Specified in the variation block and the value of Normal limit some circuits show abnormal behavior under these conditions and the simulation result can be completely off or missing This can distort the result statistics reported by HSPICE atthe end of the Monte Carlo simul
130. or by sources If element statements specify any IC parameters HSPICE or HSPICE RF sets those initial conditions HSPICE or HSPICE RF uses the resulting voltage settings as the initial guess atthe operating point Use orr to find an exact solution during an operating point analysis in a large circuit The majority of device terminals are at zero volts for the operating point solution To initialize the terminal voltages to zero for selected active devices set the OFF parameter in the element statements for those devices After HSPICE finds a DC operating point use SAVE to store operating point node voltages in a lt design gt ic file Then use the LOAD statement to restore operating point values from the ic file for later analyses Note HSPICE RF does notsupportthe SAVE and LoAp save and restart statements HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis DC Initialization and Operating Point Calculation When you set initial conditions for Transient Analysis If you include UIC in a TRAN statement HSPICE or HSPICE RF starts a transient analysis using node voltages specified in an 1C statement Use the OP statement to store an estimate of the DC operating point during a transient analysis m HSPICE RF does not output node voltage from operating point OP if time t lt 0 An internal timestep too small error message indicates
131. out O nodes varies linearly from 1 p to 5 p when voltage across the ctrl 0 nodes varies between 2 v and 2 5 v The capacitance value remains constant at 1 picofarad when below the lower voltage limit and at 5 picofarads when above the upper voltage limit Gcap out 0 VCCAP PWL 1 ctrl 0 2v lp 2 5v 5p Zero Delay Gate To implement a two input AND gate use an expression and a piecewise linear table The inputs are voltages at the a and b nodes The output is the current flow from the out to 0 node HSPICE orHSPICE RF multiplies the current by the SCALE value which in this example is the inverse of the load resistance connected across the out 0 nodes Gand out 0 AND 2 a 0 b 0 SCALE 1 rload Ov 0a 1v 5a 4v 4 5a 5v 5a Delay Element A delay is a low pass filter type delay similar to that of an opamp In contrast a transmission line has an infinite frequency response A glitch input to a G delay attenuates in a way thatis similar to a buffer circuit In this example the output of the delay element is the current flow from the out node to the 7 node witha value equal to the voltage across the in 0 nodes multiplied by the SCALE value and delayed by the TD value Gdel out 0 DELAY in 0 TD 5ns SCALE 2 NPDELAY 25 Diode Equation To model forward bias diode characteristics from node 5 to ground use a runtime expression The saturation current is 1e 14 amp and the thermal voltage is 0 025 v Gdio 5 0 CUR
132. pair that you specify in the DATA block the data driven PWL function outputs a current or voltage of the specified tn duration and with the specified vn amplitude When you use this source you can reuse the results of one simulation as an input source in another simulation The transient analysis must be data driven Example This example is based on demonstration netlist datadriven pwl sp which is available in directory lt installdir gt demo hspice sources DATA DRIVEN PIECEWISE LINEAR SOURCE options list node post V1 10 PWL TIME pv1 R1 V2 R2 DATA dsrc TIME pvl pv2 On 5v Ov 5n Ov 5v 10n Ov 5v ENDDATA TRAN 1p 10n sweep DATA dsrc END PWL TIME pv2 DON FR ooo This example is an entire netlist containing two data driven piecewise linear voltage sources The DATA statement contains the two sets of values referenced in the pv1 and pv2 sources The TRAN statement references the data name Single Frequency FM Source HSPICE or HSPICE RF provides a single frequency FM source function in an independent voltage or current source HSPICE Simulation and Analysis User Guide 143 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions 144 Syntax Vxxx n n SFFM vo va fc mdi fs lt gt Ixxx n n SFFM lt gt vo va fc mdi lt fs gt gt gt lt gt Parameter Description VXXX Ixxx Independent voltage source which exhibits th
133. parameter dcop begin dcop dcop end begin begi 1 in_use 10 FLOATING license s probe nomod end read circuit files memory 1 begi 45 kb check errors setup matrix n dcop dcop T 2 28E 00 03750E 07 03750E 07 03750E 07 03750E 07 03750E 07 03750E 07 03750E 07 03750E 07 03750E 07 00000E 06 tran tranl end analog voltage cpu clock 2 83E 00 cpu clock 2 83E 00 memory 155 kb dcop tot iter 14 read circuit files n check errors n setup matrix establish matrix done re order matrix done on SERVER hspiceserv cpu clockz2 21E 00 cpu clockz2 23E 00 cpu clockz2 23E 00 cpu clock 2 24E 00 memory 144 kb pivot 10 cpu clock 2 24E 00 cpu clock 2 24E 00 memory 146 kb cpu clock 2 24E 00 memory 146 kb cpu clock 2 25E 00 memory 154 kb begin Sweeps 5 1 00E 00 stop_t 1 00E 06 sweeps 101 tot_iter 78 tot_iter 179 tot_iter 280 tot_iter 381 tot_iter 482 tot_iter 583 tot_iter 684 tot_iter 785 tot_iter 886 tot_iter 987 conv_iter 24 conv_iter 53 conv_iter 82 conv_iter 111 conv_iter 140 conv_iter 169 conv_iter 198 conv_iter 227 conv_iter 256 conv_iter 285 cpu clock 2 82E 00 memory 155 kb 2 00E 00 stop_t 1 00E 06 sweeps 101 output example mt0 sweep tran tran2 begin cpu clock 2 83E 00 tran time 1 01016E 07 tran time 2 02642E 07 tran time 3 01763E 07 tran time 4 04254E 07 tran time 5 02594E 07 tran time 6 10102E 07
134. parhier global local PARAM DefPwid 1u SUBCKT Inv a y DefPwid 2u DefNwid 1u Mp1 MosPinList pMosMod L 1 2u W DefPwid Mni MosPinList nMosMod L 1 2u W DefNwid ENDS Setthe OPTION PARHIER parameter scoping Option to GLOBAL The netlist also includes the following input statements xlInvO a yO Inv override DefPwid default xInv0O Mp1 width 1u xInvl a yl Inv DefPwid 5u override DefPwid 5u xlInv1 Mp1 width 1u measure tran Wid0 param lv2 xlInv0O Mp1 lv2 is the template for measure tran Widl param lv2 xlInvl1 Mp1 the channel width l1v2 xInv1 Mp1 ENDS Simulating this netlist produces the following results in the listing file wid0 1 0000E 06 widi z1 0000E 06 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 6 Parameters and Functions Parameter Scoping and Passing If you change the OPTION PARHIER parameter scoping Option to LOCAL xlInvO0 a yO Inv not override param DefPwid 2u xInv0O Mp1 width 2u xInvl a yl Inv DefPwid 5u S override param S DefPwid 2u xlInv1 Mp1 width 5u measure tran Wid0 param lv2 xInvO Mp1 override the measure tran Widl param lv2 xInv1 Mp1 global PARAM Simulation produces the following results in the listing file wid0 2 0000E 06 wid1 5 0000E 06 Parameter Passing Figure 28 on page 239 shows a flat representation of a hierarchical circuit which contains three resistors
135. phase decibel and group delay values The default for HSPICE is ACOUT 1 To use the SPICE method set ACOUT O A typical use of the SPICE method is to calculate the nodal vector difference when comparing adjacent nodes in a circuit You can use this method to find the phase or magnitude across a capacitor inductor or semiconductor device Use the HSPICE method to calculate an inter stage gain in a circuit such as an amplifier circuit and to compare its gain phase and magnitude The following examples define the AC analysis output variables for the HSPICE method and then for the SPICE method HSPICE Method Example Real and imaginary VR N1 N2 REAL V N1 0 REAL V N2 0 VI N1 N2 IMAG V N1 0 IMAG V N2 0 Magnitude VM N1 0 VR N1 0 VI N1 0 2 9 5 VM N2 0 VR N2 0 VI N2 0 7 gt VM N1 N2 2 VM N1 0 VM N2 0 Phase VP N1 0 ARCTAN VI N1 0 VR N1 0 VP N2 0 ARCTAN VI N2 0 VR N2 0 VP N1 N2 VP N1 0 VP N2 0 Decibel VDB N1 0 220 LOG10 VM N1 0 VDB N1 N2 20 LOG10 VM N1 0 VM N2 0 HSPICE Simulation and Analysis User Guide Y 2006 03 HSPICE 8 Simulation and Analysis User Guide Y 2006 03 263 Chapter 7 Simulation Output Selecting Simulation Output Parameters 264 Parameter Description n Node position number in the element statement For example if the element contains four nodes IM3 denotes the magnitude of the branch current output for the
136. produces if you sweep the response of node 2 as you vary the frequency of the input from 1 kHz to 1 MHz HSPICE amp Simulation and Analysis User Guide 347 Y 2006 03 Chapter 10 AC Sweep and Small Signal Analysis Other AC Analysis Statements Figure 52 RC Network Node 2 Frequency Response 500 0m 450 0m 400 0m 300 0m 250 0m volt maglin 151 657m 350 0mE 200 0mF A simple AC run 04 14 2003 16 52 48 z j quickAC ac F j 2 m e e a a aaa a a e ee ee D a o l i J l Rid 4 DX NC OP RES PPS 1 munus AU Ide s BUR nU BIG aod Le cm de Wurde Mey So ase USURIS aeRO Ra AL Se NUS TET CENTS z 4 E 1 1 1 y ae TP 1 1 1 T pp 1 i 1 b 3p p az s 1 0k 10 0k 100 k 1 0x hertz log As you sweep the input from 1 kHz to 1 MHz the quickAC lis file displays nput netlist Details about the elements and topology Operating point information Table of requested data The quickAC icO file contains information about DC operating point conditions The quickAC stO file contains information about the simulation run status To use the operating point conditions for subsequent simulation runs execute the LOAD statement HSPICE only HSPICE RF does notsupportthe LOAD statement Other AC Analysis Statements 348 The following sections describe the commands you can use to perform other types of AC analyses Using DISTO for Small Signal Distortion
137. provides a single frequency AM source function in an independent voltage or current source Syntax Vxxx n n AM lt gt sa oc fm fc lt td gt lt gt HSPICE amp Simulation and Analysis User Guide 145 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions 146 Ixxx n n AM lt gt sa oc fm fc td lt gt Parameter Description Vxxx Ixxx Independent voltage source which exhibits the amplitude modulated response AM Keyword for an amplitude modulated time varying source sa Signal amplitude in volts or amps Default 0 0 fc Carrier frequency in hertz Default 0 0 fm Modulation frequency in hertz Default 1 TS TOP oc Offset constant a unitless constant that determines the absolute magnitude of the modulation Default 0 0 td Delay time propagation delay before the start of the signal in seconds Default 0 0 The following expression defines the waveform shape sourcevalue sa oc SIN 2 1 fm Time td SIN 2 1 fc Time td Example This example is based on demonstration netlist amsrc sp which is available in directory lt installdir gt demo hspice sources file amsrc sp amplitude modulation option post tran 01m 20m vi 10 am 10 1 100 1k 1m ri 1 0 1 v2 2 0 am 2 5 4 100 1k 1m E2 27 00E v3 3 0 am 10 1 1k 100 1m r3 3 041 end This example shows an entire netlist which contains three amplitude modulated voltage sources HSPICE
138. repeat data starts from the bit indicated by RB RB mustbe an integer If the value is larger than the length of the b string an error is reported If the value is less than 1 itis setto 1 automatically Keyword to specify how many times to execute the repeating operation be executed With no argument the source repeats from the beginning of the b string If R 1 it means the repeating operation will continue forever R must be an integer and if itis less than 1 it will be set to 0 automatically HSPICE 8 Simulation and Analysis User Guide 149 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions 150 The time from 0 to the first transition is tdelay N tsample tr tf 2 wis the number of the same bit from the beginning fthe first transition is rising this equation uses tr If the first transition is falling ituses t Example The following example shows a pattern source with two b strings FILE pattern source gereral form v1 10 pat 5 0 On 1n 1n 5n b1011 r 1 rb 2 bOm1z ri 101 In this pattern High voltage is 5 v Low voltage is Ov Time delay is 0 n Rise time is 1 n Falltime is 1n Sample time is 5 n The first b string is 1011 which repeats once and then repeats from the second bit which is 0 The second b string is 0m1z Since neither R and RB is specified here they are set to the default value which is R 0 RB 1 Example The following b string and its repeat
139. represent the equivalent impedance and admittance that you can insert at the input of the noise equivalent circuit to account for the correlation between the equivalent noise voltage and the current values ZCOR Noise correlation impedance Complex Ohms YCOR Noise correlation admittance Complex Siemens Optimum Matching for Noise These measurements represent the optimum impedance admittance and reflection coefficient value that result in the best noise performance minimum noise figure ZOPT Optimum source impedance for noise Complex Ohms YyOPT Optimum source admittance for noise Complex Siemens GAMMA OPT Optimum source reflection coefficient Complex unitless Because ZOPT and YOPT can commonly take on infinite values when computing optimum noise conditions calculations for optimum noise loading reflect the GAMMA OPT coefficient Noise Figure and Minimum Noise Figure Noise figure represents the ratio of the SNR signal to noise ratio atthe input to the SNR at the output You can set the input source impedance to zoPT to obtain the minimum noise figure NFMIN Minimum noise figure source at ZOPT real unitless power ratio NF Noise figure value obtained with source impedance at Zc 1 real unitless power ratio HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis Associated Gain This measurement assumes that the
140. rise fall times use the trise tfall statement Note do not put the unit such as ns here again HSPICE multiplies this value by the specified tunit slope 1 2 ek CK CkCkCkCckCck ck ck ck ck ckck ck ck ck ck Ck KCkCk Ck CkCk Ck Ck Ck ok ck ok ok ok ck ko ok ck ck ck ck ck ck ck ko ck k ck kk k kk kkk k n Specify the logic high voltage for input signals vih 3 3 1 0 000 vih 5 0 0 0 FFF to specify logic low use vil EER ERR KERERE RISE BRK RAK OK BRAK RK EEK RREK BSR RRR RBBB KR KK IK KO BER KK 220 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector F ile va amp vb switch from lo to hi at 1 75 volts vth 1 75 0 1 FFF CkCk Kk ko kokokockockokokokokoko koko kock kok kock kock kocko ko ko ko ko ck kck ko kckck kck ck ck ck ck ck ck kc k ck k kk n tabular data section 10 01 3 FFF 20 00 2 AFF 30 0 1 0 888 HSPICE 8 Simulation and Analysis User Guide 221 Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File 222 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 6 Parameters and Functions Using Parameters in Simulation PARAM 6 Parameters and Functions Describes how to use parameters within an HSPICE netlist Parameters are similar to the variables used in most programming languages Parameters hold a value that you assign when you create your circuit design or tha
141. rules in HSPICE prevent higher level parameters from overriding lower level parameters of the same name when that is not desired Reusing Cells Parameter name problems also occur if different groups collaborate on a design Global parameters prevail over local parameters so all circuit designers must know the names of all parameters even those used in sections of the design for which they are not responsible This can lead to a large investment in standard libraries To avoid this situation use local parameter scoping to encapsulate all information about a section of a design within that section Creating Parameters in a Library To ensure that the input netlist includes critical user supplied parameters when you run simulation you can use illegal defaults that is defaults that cause the simulator to abort if you do not supply overrides for the defaults If a library cell includes illegal defaults you must provide a value for each instance of those cells If you do not the simulation aborts HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 6 Parameters and Functions Parameter Scoping and Passing For example you might define a default MOSFET width of 0 0 HSPICE aborts because MOSFET models require this parameter Example 1 Subcircuit default definition SUBCKT Inv A Y Wid 0 Inherit illegal values by default mpl NodeList Model L 1u W Wid 2 mn1 NodeList Model L 1u W Wid
142. run prints only the actual altered input A special ALTER title identifies the run ALTER processing cannot revise LIB statements within a file that an INCLUDE statement calls However ALTER processing can accept INCLUDE statements within a file thata LIB statement calls HSPICE 8 Simulation and Analysis User Guide 53 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition Using Multiple ALTER Blocks This section does not apply to HSPICE RF For the first simulation run HSPICE reads the input file up to the first AL TER statement and performs the analyses up to that ALTER statement After it completes the first simulation HSPICE reads the input between the first ALTER statement and either the next ALTER statementorthe END Statement HSPICE then uses these statements to modify the input netlist file HSPICE then resimulates the circuit For each additional ALTER statement HSPICE performs the simulation that precedes the first ALTER statement HSPICE then performs another simulation using the input between the current ALTER statement and either the next ALTER statement or the END statement If you do not want to rerun the simulation that precedes the first ALTER statement every time you run an ALTER simulation then do the following I 2 3 Putthe statements that precede the first ALTER statement into a library Use the LIB statement in the mai
143. rx 100 R3 2 3 RX TC1 0 001 TC2 0 RP X1 A X2 X5 B 5 MODEL RVAL R In the example above R1 is a simple 10Q linear resistor and Rload calls a resistor model named RVAL which is defined later in the netlist Note If a resistor calls a model then you do not need to specify a constant resistance as you do with R1 m R3 takes its value from the Rx parameter and uses the TC1 and TC2 temperature coefficients which become 0 001 and 0 respectively RPSpans across different circuit hierarchies and is 0 56 Behavioral Resistors in HSPICE or HSPICE RF Rxxx nl n2 R equation Note The equation can be a function of any node voltage or branch current and any independent variables such as time hertz Or temper HSPICE 8 Simulation and Analysis User Guide 69 Y 2006 03 Chapter 4 Elements Passive Elements 70 Example R1 A B R V A I VDD Frequency Dependent Resistors Rxxx nl n2 R equation lt CONVOLUTION 0 1 2 lt FBASE value gt lt FMAX value gt gt Parameter Description CONVOLUTION Indicates which method is used 0 Acts the same as the conventional method This is the default 1 Applies recursive convolution and if the rational function is not accurate enough it switches to linear convolution 2 Applies linear convolution FBASE Specifies the lower bound of the transient analysis frequency For CONVOLUTION 1 mode HSPICE starts sampling at this frequency For CONV
144. section contains examples of HSPICE optimizations for HSPICE RF optimization see Optimization in the HSPICE RF Manual MOS Level 3 Model DC Optimization MOS Level 13 Model DC Optimization RC Network Optimization Optimizing CMOS Tristate Buffer BJT S Parameters Optimization BJT Model DC Optimization Optimizing GaAsFET Model DC Optimizing MOS Op amp MOS Level 3 Model DC Optimization This example shows an optimization of l V data to a Level 3 MOS model The data consists of gate curves ids versus vgs and drain curves ids versus vds This example optimizes the Level 3 parameters VTO GAMMA UO VMAX HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview THETA KAPPA After optimization HS PICE compares the model to the data for the gate and then to the drain curves OPTION POST generates AvanWaves files for comparing the model to the data Input Netlist File for Level 3 Model DC Optimization You can find the sample netlist for this example in the following directory installdir demo hspice devopt ml3opt sp The HSPICE input netlist shows Using OPTION to tighten tolerances which increases the accuracy of the simulation Use this method for I V optimization MODEL optmod OPT itropt 30 limits the number of iterations to 30 The circuit is one transistor The vDS ves and VBS parameter names match names used in the data statements
145. sigma 4 The cap element is square with local poly variation in both directions HSPICE 8 Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Worst Case and Monte Carlo Sweep Example 5 The cap model has two distributions poly line width distribution Oxide thickness distribution The effective length is Leff Ldrawn 2 DEL The model poly distribution is half the physical per side values Cla 1 0 CMOD W ELPOLY L ELPOLY C1b 1 0 CMOD W ELPOLY L ELPOLY C1C 1 0 CMOD W ELPOLY L ELPOLY C1D 10 CMOD W ELPOLY L ELPOLY 10U POLYWIDTH 0 05U 1SIGMA CAP MODEL USES 2 MODPOLY 05u 1 sigma 5angstrom oxide thickness AT 1SIGMA PARAM ELPOLY AGAUSS 10U 0 02U 1 MODPOLY AGAUSS 0 05U 1 POLYCAP AGAUSS 200e 10 5e 10 1 MODEL CMOD C THICK POLYCAP DEL MODPOLY Electrical Approach The electrical approach assumes no physical interpretation but requires a local element distribution and a global model distribution In this example You can match the capacitors to 1 for the 2 sigma population The process can maintain a 10 variation from run to run for a 2 sigma distribution Cla 1 0 CMOD SCALE ELCAP C1b 10 CMOD SCALE ELCAP C1C 1 0 CMOD SCALE ELCAP C1D 1 0 CMOD SCALE ELCAP PARAM ELCAP Gauss 1 01 2 1 at 2 sigma MODCAP Gauss 25p 1 2 10 at 2 sigma MODEL CMOD C CAP MODCAP Worst Case and Monte Carlo Sweep Example 568 The following exampl
146. similar to the parameter assignment but you cannot nest the functions more than two deep Anexpression can contain parameters that you did not define A function must have atleast one argument and can have up to 20 and in many cases more than 20 arguments You can redefine functions The format of a function is funcnamei argi arg2 expressioni funcname2 argi arg2 expression2 off Parameter Description funcname S pecifies the function name This parameter must be distinct from array names and built in functions In subsequently defined functions all embedded functions must be previously defined argl arg2 S pecifies variables used in the expression HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 6 Parameters and Functions Using Parameters in Simulation PARAM Parameter Description off Voids all user defined functions Example PARAM f a b POW a 2 a b g d SOQRT d h e ze 1 2 g 3 Predefined Analysis Function HSPICE includes specialized analysis types such as Optimization and Monte Carlo that require a way to control the analysis Measurement Parameters MEASURE statements produce a measurement parameter The rules for measurement parameters are the same as for standard parameters except that measurement parameters are defined in a MEASURE statement not in a PARAM statement For a description of the MEASURE statement see Specifying
147. str string S ubcircuit default SSUBCKT lt SubName gt lt ParamDefName gt lt Value gt str string MACRO lt SubName gt lt ParamDefName gt lt Value gt str string Predefined analysis function MEASURE statement PARAM lt mcVar gt Agauss 1 0 0 1 MEASURE DC AC TRAN result TRIG TARG lt GOAL val gt lt MINVAL val gt WEIGHT val MeasType MeasParam See Specifying User Defined Analysis MEASURE on page 267 PRINT PROBE PLOT GRAPH PRINT PROBE PLOT GRAPH lt DC AC TRAN gt outParam Par Expression A parameter definition in HSPICE always uses the last value found in the input netlist subject to local versus global parameter rules The definitions below assign a value of 3 to the DupParam parameter PARAM DupParam 1 PARAM DupParam 3 224 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 6 Parameters and Functions Using Parameters in Simulation PARAM HSPICE assigns 3 as the value for all instances of DupParam including instances that are earlier in the input than the PARAM DupParam 3 statement All parameter values in HSPICE are IEEE double floating point numbers The parameter resolution order is 1 Resolve all literal assignments 2 Resolve all expressions 3 Resolve all function calls Table 17 shows the parameter passing order Table 17 Parameter Passing Order OPTION PARHIER GLOBAL
148. supported in HSPICE HSPICE 8 Simulation and Analysis User Guide 325 Y 2006 03 Chapter 9 Transient Analysis Transient Control Options Table 44 Transient Control Options Arranged by Category Method Tolerance Limit BYPASS ABSH RELVAR AUTOSTOP CSHUNT ABSV SIM ACCURACY BKPSIZ DVDT ABSVAR SIM DELTAI DELMAX GSHUNT ACCURATE SIM DELTAV DVTR INTERP BYTOL SLOPETOL FS ITRPRT CHGTOL TIMERES FT LVLTIM DI TRTOL GMIN MAXORD FAST VNTOL ITL3 METHOD MBYPASS ITL4 POST MAXAMP ITL5 PROBE MU RMAX PURETP RELH RMIN SIM_ORDER RELI VFLOOR RUNLVL RELQ SIM_TRAP RELTOL TRCON RELV Matrix Manipulation Options After HSPICE generates individual linear elements in an input netlist it constructs linear equations for the matrix You can set variables that affect how HSPICE constructs and solves the matrix equation including OPTION PIVOT and OPTION GMIN HSPICE RF does not support these options GMIN places a variable in the matrix so the matrix does not become ill conditioned OPTION PIVOT selects a pivoting method which reduces simulation time and assists in DC and transient convergence Pivoting reduces errors resulting from elements in the matrix that are widely different in magnitude PIVOT searches the matrix to find the largest element value and uses this value as the pivot 326 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Simulation S peed and Accuracy Simulation
149. supports Monte Carlo analysis HSPICE RF does not Gaussian Uniform and Limit Functions This example is based on demonstration netlist montl sp which is available in directory lt installdir gt demo hspice apps monti sp test of monte carlo gaussian uniform and limit functions option post dc monte 60 setup plots probe aunif 1 v aul probe aunif 10 v au10 probe agauss 1 v agl probe agauss 10 v ag10 probe limit v 11 uniform distribution relative variation 2 param ru 1 unif 100 2 iul ul 0 1 rul ul 0 ru_l absolute uniform distribution absolute variation 20 single throw and 10 throw maximum param rau 1 aunif 100 20 param rau 10 aunif 100 20 10 iaul aul 0 1 raul aul 0 rau 1 iau10 au10 0 1 raul0 au10 0 rau 10 gaussian distribution relative variation 2 at 3 sigma param rg 1 2gauss 100 2 3 igl g1 0 1 rgl gl 0 rg 1 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Monte Carlo Analysis absolute gaussian distribution absolute variation 2 at 3 sigma single throw and 10 throw maximum param rag_l agauss 100 20 3 param rag_10 agauss 100 20 3 10 iagl agl 0 1 ragl agl 0 rag 1 iag10 ag10 0 1 rag10 ag10 0 rag 10 random limit distribution absolute variation 20 param rl limit 100 20 il1 11 0 1 elds SA Ou aed end Figure 98 Uniform Functions MONTI SP TEST OF MONTE CARLO GAUSSIAN
150. the first four vectors although the first vector is at least one bit The fourth vector is four bits because F is hexadecimal for binary 1111 All bits of the fourth vector have a rise time of 0 3ns for the constant you defined in TUNIT This also applies to TFALL fall time VIH voltage for logic high inputs and VIL voltage for logic low inputs Modifying Waveform Characteristics The TDELAY IDELAY and ODELAY statements define the delay time of the signal relative to the absolute time of each row in the Tabular Data section TDELAY applies to the input and output delay time of input output and bidirectional signals IDELAY applies to the input delay time of bidirectional signals ODELAY applies to the output delay time of bidirectional signals The SLOPE Statement specifies the rise and fall times for the input signal To specify the signals to which the s ope applies use a mask The TFALL statement sets an input fall time for specific vectors The TRISE statement sets an input rise time for specific vectors The TUNIT statement defines the time unit The ouT and ouTz keywords are equivalent and specify output resistance for each signal for which the mask applies OUT or OUTZ applies only to input signals HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File The TRIZ statement specifies the output impedance when the signal for
151. the frequency during simulation AC analysis frequency Parameter Scoping and Passing If you use parameters to define values in sub circuits you need to create fewer similar cells to provide enough functionality in your library You can pass circuit parameters into hierarchical designs and assign different values to the same parameter within individual cells when you run simulation For example if you use parameters to setthe initial state of a latch in its subcircuit definition then you can override this initial default in the instance call You need to create only one cell to handle both initial state versions of the latch You can also use parameters to define the cell layout For example you can use parameters in a MOS inverter to simulate a range of inverter sizes with only one cell definition Local instances of the cell can assign different values to the size parameter for the inverter In HSPICE you can also perform Monte Carlo analysis or optimization on a cell that uses parameters How you handle hierarchical parameters depends on how you construct and analyze your cells You can construct a design in which information flows from the top of the design down into the lowest hierarchical levels To centralize the control at the top of the design hierarchy set global parameters To construct a library of small cells that are individually controlled from within set ocal parameters and build up to the block l
152. this two port network a vi Zor b v2 Zoh b BENT 2f The following equations define the S parameters b b Si 0 15 0 b b 5 0 Sy E Each S parameter is a complex number which can represent gain isolation or a reflection coefficient Example The following examples show how you can represent a gain isolation or reflection coefficient PRINT AC S11 DB Input return loss PRINT AC S21 DB Gain HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis PRINT AC S12 DB Isolation PRINT AC S22 DB Output return loss Two Port Transfer and Noise Calculations Two port noise analysis is a linear AC noise analysis method that determines the noise figure of a linear two port for an arbitrary source impedance S everal output parameter measurements are specific to two port networks LIN analysis supports two port calculations for 3 or more ports if port 1 is the input and port 2 the output All other ports terminate in their characteristic impedance This is equivalent to operating on the two port S sub matrix extracted from the multi port S matrix This occurs for both signal and noise calculations A warning appears if N22 and you specified two port quantities Noise and signal port wise calculations do not require that port elements use a ground reference You can therefore measure fully
153. time zero source value HSPICE or HSPICE RF does not force the source to terminate at the TSTOP value specified in the TRAN statement If the slope of the piecewise linear function changes below a specified tolerance the timestep algorithm might not choose the specified time points as simulation time points To obtain a value for the source voltage or current HSPICE or HSPICE RF extrapolates neighboring values As a result the simulated voltage might deviate slightly from the voltage specified in the PWL list To force HSPICE or HSPICE RF to use the specified values use OPTION SLOPETOL which reduces the slope change tolerance H causes the function to repeat You can specify a value after this H to indicate the beginning of the function to repeat The repeat time must equal a breakpoint in the function For example if t121 12 2 t3 3 and t4 4 then the repeat value can be 1 2 or 3 Specify TD val to cause a delay at the beginning of the function You can use TD with or without the repeat function 140 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Example This example is based on demonstration netlist pwl sp which is available in directory lt installdir gt demo hspice sources file pwl sp repeated piecewise linear source option post tran 5n 500n v1 10 pwl 60n Ov 120n Ov 130n 5v 170n 5v 180n Ov r rld 9 v2 2 0 pl Ov 60n Ov 120n 5
154. to the values from the previous iteration If the absolute value of the difference is less than ABSVDC or ABST then the node or element has converged ABSV and ABSI Set the floor value below which HSPICE or HSPICE RF ignores values Values above the floor use RELVDC and RELI as relative tolerances If the iteration to iteration absolute difference is less than these tolerances then it is convergent Note ABSMOS and RELMOS are the tolerances for MOSFET drain currents HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Accuracy and Convergence Accuracy settings directly affect the number of iterations before convergence If accuracy tolerances are tight the circuit requires more time to converge fthe accuracy setting is too loose the resulting solution can be inaccurate and unstable Table 42 shows an example of the relationship between the RELVDC value and the number of iterations Table 42 RELV vs Accuracy and Simulation Time for 2 Bit Adder RELVDC Iteration Delay ns Period ns Fall time ns 001 540 31 746 14 336 1 2797 005 434 31 202 14 366 1 2743 01 426 31 202 14 366 1 2724 02 413 31 202 14 365 1 3433 05 386 31 203 14 365 1 3315 Jl 365 31 203 14 363 1 3805 2 354 31 203 14 363 1 3908 d 354 31 203 14 363 1 3909 E 341 31 202 14 363 1 3916 E 344 31 202 14 362 1 3904 Accuracy Control Options The default control option settings are
155. type string Simulator Environment Functions The environment parameter functions return simulator environment information to the module Return circuit ambient temperature in Kelvin Table 55 Verilog A Environment Parameter Functions Function Description Circuit Returns circuit ambient temperature in Kelvin temperature Stemperature HSPICE Simulation and Analysis User Guide 405 Y 2006 03 Chapter 12 Using Verilog A Introduction to Verilog A 406 Table 55 Verilog A Environment Parameter Functions Continued Function Description Time Returns absolute time in seconds Sabstime Thermal vt can optionally have Temperature in Kelvin as an input voltage argument and returns the thermal voltage kT q at the given temperature vt without the optional input temperature argument returns the thermal voltage using temperature Svt Temperature Analysis flag Returns true 1 if current analysis matches any one of the passed arguments Sanalysis str str Simulation Returns the value of the named specified simulation parameter parameter gmin simparam gmin 1 0 Module Hierarchy Modules can instantiate other modules so that networks of modules can be constructed Structural statements are used inside the module block but cannot be used inside the analog block module name paraml expr param2 expr instance_name node node Example my src fstart 100 ramp z ul plus
156. v2 td tr tf pw lt per gt gt gt gt gt lt gt Parameter Description VXXX Ixxx Independent voltage source which exhibits the pulse response PULSE Keyword for a pulsed time varying source The short form is PU v1 Initial value of the voltage or current before the pulse onset units of volts or amps v2 Pulse plateau value units of volts or amps td Delay propagation time in seconds from the beginning of the transient interval to the first onset ramp Default 0 0 HSPICE or HSPICE RF sets negative values to zero tr Duration of the onsetramp in seconds from the initial value to the pulse plateau value reverse transit time DefaultzTSTEP tf Duration of the recovery ramp in seconds from the pulse plateau back to the initial value forward transit time Default TSTEP pw Pulse width the width of the plateau portion of the pulse in seconds Default TS TOP per Pulse repetition period in seconds Default T STOP Table 8 Time Value Relationship for a PULSE Source Time Value 0 v1 td v1 td tr v2 td tr pw v2 td tr pw tf v1 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Table8 Time Value Relationship for a PULSE Source Continued Time Value tstop vl Linear interpolation determines the intermediate points Note TSTEP is the printing increment and TSTOP is the final time Example
157. val gt lt lt TC2 gt val gt SCALE val IC val lt M val gt W val L val lt DTEMP val gt Cxxx nl n2 C equation lt CTYPE 0 1 gt above options Polynomial form Cxxx n1 n2 POLY c0 cl above options Parameter Description Cxxx Capacitor element name Must begin with C followed by up to 1023 alphanumeric characters nl Positive terminal node name n2 Negative terminal node name mname Capacitance model name Elements use this name to reference a capacitor C capacitance Capacitance atroom temperature a numeric value or a parameter in farads TET First order temperature coefficient for the capacitor See the Passive Device Models chapter in the HSPICE Elements and Device Models Manual for temperature dependent relations TC 2 Second order temperature coefficient for the capacitor HSPICE 8 Simulation and Analysis User Guide 71 Y 2006 03 Chapter 4 Elements Passive Elements Parameter Description SCALE IC DTEMP C equation CTYPE POLY CO c1 Element scale parameter scales capacitance by its value Default 1 0 Initial voltage across the capacitor in volts If you specify UIC in the TRAN statement HSPICE or HSPICE RF uses this value as the DC operating point voltage The IC statement overrides it Multiplier used to simulate multiple parallel capacitors Default 1 0 Capacitor width in meters Default 0 0 if you did not sp
158. valuel 1 value2 2 A1 out out2 endmodule HSPICE 8 Simulation and Analysis User Guide 425 Y 2006 03 Chapter 12 Using Verilog A Unsupported Language Features 426 Hierarchical and out of module references as described in the LRM 2 1 section 7 In this example the reference to example2 net inside the examplel module is not supported module examplel electrical example2 net Feature not supported endmodule module example2 electrical net endmodule Vector ports where the port expression defining the size of a port is a parameter expression as described in LRM 2 1 section 7 3 1 In this example the vector port range size must be a constant not a parameter value module test out parameter integer size 7 from 1 16 electrical 0 size out Feature not supported analog begin V out 0 lt 0 0 end endmodule The timescale directive as described in LRM 2 2 section 3 2 3 timescale 1ns 10ps Feature not supported The monitor function as described in LRM 2 1 section 10 6 Smonitor nEvent occurred Feature not supported Parameters used to specify ranges for the generate statement as described in LRM 2 1 section C 19 3 generate indexr identifier start expr end expr incr expr Time tolerances on timer and transition functions as described in LRM 2 1 section 6 5 7 3 and 4 4 9 1 respectively timer start time period time tol tr
159. values to override the port impedance value for a particular analysis Syntax Pxxx p n port portnumber Voltage or Power Information DC mag AC mag lt phase gt gt gt HBAC mag lt phase gt gt gt HB mag phase harm tone modharm lt modtone gt gt gt gt gt gt gt transient waveform lt TRANFORHB 0 1 gt DCOPEN 0 1 Source Impedance Information Z0 val RDC val lt RAC val gt RHBAC val lt RHB val gt lt RTRAN val gt Power Switch KKKKKKKK lt power 0 1 2 w dbm gt t eettee test Parameter Description port portnumber The port number Numbered sequentially beginning with 1 with no shared port numbers lt DC mag gt DC voltage or power source value lt AC lt mag lt phase gt gt gt AC voltage or power source value lt HBAC mag lt phase gt gt gt HSPICE RF HBAC voltage or power source value HSPICE 8 Simulation and Analysis User Guide 125 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Elements Parameter Description HB mag phase harm HSPICE RF HB voltage current or power source tone modharm value Multiple HB specifications with different harm lt modtone gt gt gt gt gt gt gt tone modharm and modtone values are allowed phase is in degrees harm and tone are indices corresponding to the tones specified in the HB statement Indexing starts at 1 corre
160. various inaccuracies If the transient analysis runs at intervals longer than 1 501 f then the frequency response of the interpolation dominates the power spectrum Furthermore this interpolation does not derive an error range for the output The following equation calculates the Fourier coefficients 9 9 g t yi Cm cos mt Y D sin mt m 0 m 0 The following equations calculate values for the preceding equation n MEE feo cos m t dt T m E f g t sin m 1 dt TU T JS I l 9 9 g t by Cpm cos m t y D n sin m t m 0 m 0 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Fourier Analysis The following equations approximate the C and D values 500 M mae C Y n Ar cos 23507 n 0 500 B Zomm D Y g a AN sin 580 n 0 The following equations calculate the magnitude and phase R C2 D2 2 m C o wes aa y m Example 1 The following is input foran OP TRAN or FOUR analysis This example is based on demonstration netlist four sp which is available in directory lt installdir gt demo hspice apps CMOS INVERTER M1 2 1 0 0 NMOS W 20U L 5U M2 2 1 3 3 PMOS W 40U L 5U VDD 3 0 5 VIN 1 0 SIN 2 5 2 5 20MEG MODEL NMOS NMOS LEVEL 3 CGDO 0 2N CGSO 0 2N CGBO 2N MODEL PMOS PMOS LEVEL 3 CGDO 0 2N CGSO 0 2N CGB0 2N OP TRAN 1N 500N FOUR 20MEG V 2 PRINT TRAN V 2 V 1 END Example 2
161. which the mask applies is in tristate TRIZ applies only to the input signals The vrH statement specifies the logic high voltage for each input signal to which the mask applies The VIL statement specifies the logic low voltage for each input signal to which the mask applies Similar to the TDELAY statement the vREF statement specifies the name ofthe reference voltage for each input vector to which the mask applies VREF applies only to input signals Similar to the TDELAY statement the vTH statement specifies the logic threshold voltage for each output signal to which the mask applies The threshold voltage determines the logic state of output signals for comparison with the expected output signals The vou statement specifies the logic high voltage for each output signal to which the mask applies The voL statement specifies the logic low voltage for each output signal to which the mask applies Using the Context Based Control Option The OPTION CBC Context Based Control specifies the direction of bidirectional signals A bidirectional signal is an input if its value is 0 1 or z conversely a bidirectional signal is an output if its value is H L U Or X For example RADIX 111 IOIOB VNAME A Z B OPTION CBC 10 00 X L 20 0 1 EH 30 0 10 2 This example sets up three vectors named A z and B Vector A is an input vector Z is an output and vector B is a bidirectional signal defined in the ro statement The OP
162. xm 2 td to tstop vo va Exp Time td 0 2 II time td o sini freq time 360 In these expressions TSTOP is the final time Example VIN 3 0 SIN 01 100MEG 1NS 1e10 This damped sinusoidal source connects between nodes 3 and 0 In this waveform Peak value is 1 V Offsetis 0 V Frequency is 100 MHz Time delay is 1 ns Damping factor is 1e10 Phase delay is zero degrees See Figure 17 on page 135 for a plot of the source output 134 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Figure 17 Sinusoidal Source Function This example is based on demonstration netlist sin sp which is available in directory lt installdir gt demo hspice sources file sin spsinusoidal source options post param v0 0 va 1 freq 100meg delay 2n theta 5e7 phase 0 v 1 0 sin v0 va freq delay theta phase ri101 tran 05n 50n end HSPICE amp Simulation and Analysis User Guide 135 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions 136 Table 10 SIN Voltage Source Parameter Value initial voltage 0 volts pulse voltage 1 volt delay time 2 nanoseconds frequency 100 MHz damping factor 50 MHz Exponential Source Function HSPICE or HSPICE RF provides a exponential source function in an independent voltage or current source Syntax Vxxx n n EXP lt gt vl v2 tdi t1 td2 lt t2 g
163. you set the ACM 0 model parameter Perimeter of drain junction including channel edge Overrides OPTION DEFPD Default DEFAD if you set the ACM or 1 model parameter Default 0 0 if you set ACM 2 or 3 Perimeter of source junction including channel edge Overrides OPTION DEFPS Default DEFAS if you set the ACM 0 or 1 model parameter Default 0 0 if you set ACM 2 or 3 Number of squares of drain diffusion for resistance calculations Overrides OPTION DEFNRD Default DEFNRD if you set ACM 0 or 1 model parameter Default 0 0 if you set ACM 2 or 3 Number of squares of source diffusion for resistance calculations Overrides OPTION DEFNRS Default DEFNRS when you set the MOSFET model parameter ACM 0 or 1 Default 0 0 when you set ACM 2 or3 Additional drain resistance due to contact resistance in units of ohms This value overrides the RDC setting in the MOSFET model specification Default 0 0 Additional source resistance due to contact resistance in units of ohms This value overrides the RSC setting in the MOSFET model specification Default 0 0 Sets initial condition for this element to OFF in DC analysis Default ON This command does not work for depletion devices Initial voltage across external drain and source vds gate and source vgs and bulk and source terminals vbs Use these arguments with TRAN UIC IC Statements override these values HSPICE Simulation and Analysis User Guide Y 2006 03 Ch
164. 0 cece ee eee 155 Conventions forF 0 8 5 8 001 So vTr poupo ificor1862 i 19 2 v S04 6 5 8 vii Contents viii Polynomial POLY Piecewise Linear PWL Multi Input Gates Delay Element Laplace Transform Pole Zero Function Frequency Response Table Foster Pole Residue Form Behavioral Voltage Source Noise Model 0 0c cece IdealOp Amp Ideal Transformer E Element Parameters E ElementExamples IdealOpAmp Voltage Summer Polynomial Function Zero Delay InverterGate Ideal Transformer Voltage Controlled Oscillator VCO sasaaa Using the E Element for AC Analysis 0 0000 c eee eee Polynomial POLY Piecewise Linear PWL Multi InputGates Delay Element F Element Parameters F Element Examples Voltage Dependent Current Sources G Elements 00000e Voltage Controlled Current Source VCCS 0 cee ees WINGO hse ht heard Pak eee Polynomial POLY Piecewise Linear PWL Multi InputGate Delay Element Laplace Transform Pole Zero Function Frequency Response Table Foster Pole Residue Form Behavioral Current Source Noise Model cc cee eee Voltage C ontrolled Resistor VCR 166 166 166 166 166 167
165. 0 0 cjc 0 0 mje 0 5 mjc 0 5 vje 0 6 vjc 0 6 rb 0 3 rcz10 vaf 550 var 300 these are the optimized parameters bf bf is is ikf ikf ise ise re re nf nf ne ne these are for reverse base opt br br ikr ikr isc isc nr nr nc nc PME EOE EEE EEE param vbe 0 ib 0 ic 0 vce_emit 0 vbc 0 ib_emit 0 ic_emit 0 bf opt1 100 50 350 is optl 5e 15 5e 16 1e 13 nf opti 1 0 0 9 1 1 ikf opt1 50e 3 1e 3 1 re opt1 10 0 1 50 HSPICE Simulation and Analysis User Guide Y 2006 03 G GE dc data basef model optmod meas dc ibvbe meas dc icvbe dc data baser model optmod ise opt1 ne opti br opt2 nr opt2 ikr opt2 isc opt2 nc opt2 1le 16 le 1 55 1225 erri p errl p 18 2 0 12 il ar ib ar ic 1e 11 ql q Chapter 17 Optimization Overview sweep optimize optl results ibvbe icvbe minval 1e 14 ignore 1e 16 minval 1e 14 ignore 1e 16 sweep optimize opt2 results ibvber icvber meas dc ibvber errl par ib i2 ql minval 1e 14 ignore 1e 1 meas dc icvber errl par ic il ql minval 1e 14 ignore 1e 1 dc data basef print dc par ic il ql par ib i2 q1 dc data baser print dc par ic il ql par ib i2 q1 option brief 1 data basef vbe vbc ic ib 0 40 0 1 809e 08 1 793e 10 0 410 0 2 667e 08 2 546e 10 0 420 0 3 952e 08 3 640e 10 0 430 0 5 840e 08 5 198e 10 0 440 0 8 627
166. 0 to 15 nanoseconds and back to 0 volts at 20 nanoseconds The positive terminal connects to the in node The negative terminal connects to the out node HSPICE or HSPICE RF determines the operating point for this source without the DC value the result is O volts Example 6 VIN 13 2 0 001 AC 1 SIN 0 1 1MEG Where The VIN voltage source has a 0 001 volt DC bias and a 1 voltRMS ac bias The sinusoidal time varying response ranges from 0 to 1 volts with a frequency of 1 megahertz The positive terminal connects to node 13 The negative terminal connects to node 2 Example 7 ISRC 23 21 AC 0 333 45 0 SFFM 0 1 10K 5 1K Where The ISRC current source has a 1 3 amp RMS Ac response with a 45 degree phase offset The frequency modulated time varying response ranges from 0 to 1 volts with a carrier frequency of 10 kHz a signal frequency of 1 kHz and a modulation index of 5 The positive terminal connects to node 23 The negative terminal connects to node 21 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Elements Example 8 VMEAS 12 9 Where The VMEAS voltage source has a 0 volt DC bias The positive terminal connects to node 12 The negative terminal connects to node 9 DC Sources For a DC source you can specify the DC current or voltage in different ways Vl 1 0 DC 5V vl 1 0 5V I1 1 0 DC 5mA I1 1 0 5mA The first two examp
167. 006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition 46 Wildcards must begin with a letter or a number for example PROBE v correct format PROBE incorrect format PROBE x correct format Here are some practical applications for these wildcards If your netlist includes a resistor named r1 and a voltage source named vin then PRINT i prints the current for both elements i r1 and i vin The statement PRINT v o prints the voltages for all nodes whose names start with o if your netlist contains nodes named in and out this example prints only the v out voltage If your netlist contains nodes named 0 1 2 and 3 then PRINT v 0 Or PRINT v 0 prints the voltage between node 0 and each ofthe other nodes v 0 1 v 0 2 and v 0 3 Examples The following examples use wildcards with PRINT PROBE and LPRINT statements Probe node voltages for nodes at all levels PROBE v Probe all nodes whose names start with a For example a1 a2 a3 a00 ayz PROBE v a Print node voltages for nodes atthe first level and all levels below the first level where zero level are top level nodes For example X1 A X4 554 Xab abc123 PRINT v Probe node voltages for all nodes whose name start with x atthe first level and all levels below the first level where zero level are top level nodes For example x1 A x4 554 xab abc123 PROBE v x Printn
168. 1 0 10 10 u00 0pt1 0 1 0 0 5 mus opt2 700 300 1500 x3ms opt2 5 0 50 ul opt2 0 1 0 1 alpha opt2 1 1e 3 10 OUrck o EMtE ENE oro bob Xv oo oLokokokokoko4oc HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview Optimization Sweeps DC DATA gate optimize optl results comp1 model optmod MEAS DC compl ERR1 par ids i m1 minval 1e 04 ignor 1e 05 DC DATA drain optimize opt2 results comp2 model optmod MEAS DC comp2 ERR1 par ids i m1 minval 1e 04 ignor 1e 05 DC Data Sweeps DC DATA gate DC DATA drain Print Sweeps PRINT DC vds par vds vgs par vgs im i m1 id par ids PRINT DC vds par vds vgs par vgs im i m1 id par ids DC Sweep Data data PARAM vds 0 vgs 0 vbs 0 ids 0 DATA gate vds vgs vbs ids 1 000000e 01 1 000000e 00 0 000000e 00 1 655500e 05 1 000000e 01 5 000000e 00 2 000000e 00 3 149500e 04 ENDDATA DATA drain vds vgs vbs ids 2 500000e 01 2 000000e 00 0 000000e 00 2 809000e 04 5 000000e 00 5 000000e 00 0 000000e 00 4 861000e 03 ENDDATA END HSPICE 8 Simulation and Analysis User Guide 475 Y 2006 03 Chapter 17 Optimization Overview ANP LIN ANP LIN 476 Figure 66 Level 13 MOSFET Optimization ANPORT SP MOS OPERATIONAL AMPLIFIER OPTIMIZATION APRIL 22 2003 5 21 26 a MLLSOPT SVO IM En myy E Ql 0 rris leper eel ie Pes eae ee
169. 1 1 end 194 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Current Dependent Voltage Sources H Elements Current Dependent Voltage Sources H Elements This section explains H element syntax statements and defines their parameters Current Controlled Voltage Source CCVS Linear Hxxx n n CCVS vnl transresistance MAX val lt MIN val gt SCALE val lt TCl val gt lt TC2 val gt ABS 1 lt IC val gt Polynomial POLY Hxxx n n CCVS POLY NDIM vnl lt vnndim gt lt MAX val gt lt MIN val gt lt TCl val gt lt TC2 val gt lt SCALE val gt lt ABS 1 gt PO lt P1 gt lt IC val gt Piecewise Linear PWL Hxxx n n lt CCVS gt PWL 1 vnl DELTA val lt SCALE val gt lt TCl val gt TC2 val x1l1 y1 x100 y100 lt IC val gt Multi Input Gate Hxxx n n gatetype k vnl vnk DELTA val lt SCALE val gt lt TCl val gt lt TC2 val gt x1 y1 x100 y100 lt IC val gt In this syntax gatetype k can be AND NAND OR or NOR gates Delay Element Note E elements with algebraics make CCVS elements obsolete You can still use CCVS elements for backward compatibility with existing designs Hxxx n n lt CCVS gt DELAY vnl TD val SCALE val lt TCl val gt lt TC2 val gt lt NPDELAY val gt HSPICE Simulation and Analysis User Guide 195 Y 2006 03 Chapter 5 Sources and Stimuli Current Dependent Voltage
170. 1e 14 EXP V 5 0 025 1 0 HSPICE 8 Simulation and Analysis User Guide 193 Y 2006 03 Chapter 5 Sources and Stimuli Voltage Dependent Current Sources G Elements Diode Breakdown You can model the diode breakdown region to a forward region When voltage across a diode is above or below the piecewise linear limit values 2 2v 2v the diode current remains at the corresponding limit values 1a 1 2a Gdiode 1 0 PWL 1 1 O 2 2v 1a 2v 1pa 3v 15pa 6v 10ua 1v 1a 2v 1 2a Triodes Both of the following voltage controlled current sources implement a basic triode The first example uses the poly 2 operator to multiply the anode and grid voltages together and to scale by 02 m The second example uses the explicit behavioral algebraic description gt i anode cathode poly 2 anode cathode grid cathode 00 00 02 gt i anode cathode cur 20m v anode cathode v grid cathode Behavioral Noise Model The following netlist shows a 1000 Ohm resistor g1 implemented using a G element The glnoise element placed in parallel with the g1 resistor delivers the thermal noise expected from a resistor The r1 resistor is included for comparison the noise due to r1 should be the same as the noise due to glnoise Resistor implemented using g element vl 101 ri 1 2 1k g1 1 2 cur v 1 2 0 001 glnoise 1 2 noise sqrt 4 1 3806266e 23 TEMPER 273 15 0 001 rout 2 0 1meg ac lin 1 100 100 noise v 2 v
171. 2 DB Prints the magnitude and decibel values of the second harmonic distortion component through the load resistor that you specified in the DISTO statement not shown You cannot use the DISTO statement in HSPICE RF PLOT NOISE INOISE ONOISE Note You can specify the noise and distortion output variable and other AC output variables in the PRINT AC or PLOT AC statements The PRINT example applies to both HSPICE and HSPICE RF The PLOT example applies only to HSPICE Element Template Output HSPICE The PRINT PROBE PLOT and GRAPH statements use element templates to output user input parameters state variables stored charges capacitor currents capacitances and derivatives of variables Element templates are listed at the end of this chapter t 266 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Specifying User Defined Analysis MEASURE Syntax Elname Property Parameter Description Elname Name of the element P roperty Property name of an element such as a user input parameter state variable stored charge capacitance current capacitance or derivative of a variable The alias is LVnn Elname LXnn Elname Parameter Description LV Form to obtain output of user input parameters and state variables LX Form to obtain output of stored charges capacitor currents capacitances and derivatives of variables nn Code number for the desir
172. 2 in place of TRANSFORMER Etrans out 0 in 0 level 2 10 Voltage Controlled Oscillator VCO The vor keyword defines a single ended input which controls output of a VCO Example 1 In this example the voltage at the control node controls the frequency of the sinusoidal output voltage at the out node vO is the DC offset voltage and gain is the amplitude The outputis a sinusoidal voltage whose frequency is specified in freq control Evco out 0 VOL v0 gain SIN 6 28 freq v control TIME Note This equation is valid only for a steady state VCO fixed voltage If you sweep the control voltage this equation does not apply HSPICE Simulation and Analysis User Guide 177 Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements 178 Example 2 In this example a Verilog A module is used to control VCO output by tracking phase to ensure continuity include disciplines vams module vco vin vout inout vin vout electrical vin vout parameter real amp 1 0 parameter real offset 1 0 parameter real center freq 1G parameter real vco gain 1G real phase analog begin phase idt center freq vco gain V vin 0 0 V vout lt offset amp sin 6 2831853 phase end endmodule Example 3 This example is a controlled source equivalent of the Verilog A module shown in the previous example Like the previous example it establishes a continuous phase and ther
173. 2 or dbm element treated as a power source in series with the portimedance Values are in dbms You can use this parameter for Transient analysis if the power source is either DC or SIN Example For example the following port element specifications identify a 2 port network with 50 Ohm reference impedances between the in and out nodes P1 in gnd port 1 z0 50 P2 out gnd port 2 z0 50 Computing scattering parameters requires zo reference impedance values The order of the port parameters in the P Element determines the order of the S Y and Z parameters Unlike the NET command LIN does not require you to insert additional sources into the circuit To calculate the requested transfer parameters HSPICE automatically inserts these sources as needed atthe port terminals You can define an unlimited number of ports HSPICE 8 Simulation and Analysis User Guide 355 Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis Using the P Port Element for Mixed Mode Measurement You can use a port element with three terminals as the port element for measuring the mixed mode S parameters Except for the number of external terminals the syntax of the port element remains the same The LIN analysis function internally sets the necessary drive mode common differential of these mixed mode port elements For analyses other than the LIN analysis such as DC AC TRAN and so on the mixed mode P Element acts as a di
174. 450u wnl0zopti1 140u 30u 280u wpli1zopt1 240u 150u 450u Took ook ook ook oko Control Section tran 1ns 16ns tran 5ns 15ns sweep optimize opt1 results tfopt tropt rmspowo model optmod put soft limit for power with minval setting i e values less than 1000mw are less important measure rmspowo rms power goal 100mw minval 1000mw meas tran tfopt trig v data val 2 5 rise 1 targ v out val 2 5 fall 1 goal 5 0n meas tran tropt trig v databar val 2 5 fall 1 targ v outbar val 2 5 rise 1 goal 5 0n model optmod opt itropt 40 max 1e5 difsiz 1e 5 x tran ins 16ns output section probe tran v data v out probe tran v databar v outbar Model Section HSPICE 8 Simulation and Analysis User Guide 483 Y 2006 03 Chapter 17 Optimization Overview MODEL N NMOS LEVEL 3 VTO 0 7 UO 500 KAPPA 25 KP 30U ETA 03 THETA 04 VMAX 2E5 NSUB 9E16 TOX 500E 10 GAMMA 1 5 PB 0 6 JS 1M XJ 0 5U LD 0 0 NFS 1E11 CGSO 200P CGDO 200P CGBO 300P MODEL P PMOS LEVEL 3 VTO 0 8 UO 150 KAPPA 25 KP 15U ETA 03 THETA 04 VMAX 5E4 NSUB 1 8E16 TOX 500E 10 NFS 1E11 GAMMA 672 PB 0 6 JS 1M XJ 0 5U LD 0 0 NSS 2E10 CGSO 200P CGDO 200P CGBO 300P end Figure 69 Tristate Buffer Optimization Circuit NSS 2E10
175. 499 502 SIM RAIL option 87 SIM2 distortion measure 266 simulate button 612 simulation ABSVAR option 336 accuracy 327 466 models 329 option 329 336 timestep 328 633 Index tolerances 297 298 327 electrical measurements 520 example 587 graphical output 596 multiple runs 55 performance multithreading 24 process overview 9 reducing time 337 results graphing 246 printing 249 251 specifying 269 270 reusing output 274 speed 327 structure 5 time RELVAR option 336 title 40 simulation output Monte Carlo 451 SIN source function 133 sin x function 229 single point experiment 6 single frequency FM source function 143 sinh x function 229 sinusoidal source function 133 skew file 552 parameters 548 SLOPETOL option simulation time 337 timestep control 335 SMOOTH element parameter 191 SONAME model parameter 204 source data driven 142 keywords 120 statements 41 See also independent sources S OVHI model parameter 204 SOVLO model parameter 204 sp file 611 613 SPICE compatibility AC output 262 263 plot 245 sqrt x function 229 square root function 229 St file 16 19 634 starting hspice 20 hspicerf 22 interactively 23 statement DOUT 213 statements AC 547 DATA 50 DC 295 469 547 DCVOLT 293 DOUT 242 element 41 ENDL 51 GRAPH 242 245 249 IC 293 initial conditions 293 LIB 51 LOAD 294 295 MEASURE 242 243 267 MODEL 547 OP 291 OPTION CO 248 249 PARAM 52 PLOT 242 244
176. 5 75 10 PRINT TRAN V IN V OUT M1 VCC IN OUT VCC PCH L 1U W 20U M2 OUT IN 0 O NCH L 1U W 20U VCC VCC 0 5 VIN IN 0 0 PULSE 2 4 8 2N 1N 1N 5N 20N CLOAD OUT 0 75P MODEL PCH PMOS MODEL NCH NMOS ALTER CLOAD OUT 0 1 5P END 6 Library input HSPICE reads any files that you specified in INCLUDE and LIB Statements HSPICE 8 Simulation and Analysis User Guide 21 Y 2006 03 Chapter 2 Setup and Simulation Running HSPICE RF Simulations 7 10 11 Operating point initialization HSPICE reads any initial conditions that you specified in rc and NODESET statements finds an operating point that you can save with a SAVE statement and writes any operating point information that you requested Multipoint analysis HSPICE performs the experiments specified in analysis statements In the above example the TRAN statement causes HSPICE to perform a multipoint transient analysis for 20 ns for temperatures ranging from 55 C to 75 C in steps of 10 C Single point analysis HSPICE performs a single or double sweep of the designated quantity and produces one set of output files Worst case ALTER You can vary simulation conditions and repeatthe specified single or multipoint analysis The above example changes CLOAD from 0 75 pF to 1 5 pF and repeats the multipoint transient analysis Normal termination After you complete the simulation HSPICE closes all files it opened and releases
177. 544 Simulating Circuit and Model Temperatures 0 0 0 0 cece eee 545 Temperature Analysis lisse 547 TEMP Statement sita tanom dene RR RSEERSES LE 548 Worst Case Analysis cisci RARE XLREE beet RE be 548 Model Skew Parameters 0 cece eee 548 Using Skew Parameters in HSPICE 00 00 eee eee 550 Skew File Interface to Device Models 0000s 552 Monte Carlo Analysis nuaa nn 553 Monte CarloSetup vee oe eee d ER Se FG AA 554 xix Contents XX B Monte Carlo Output saasaa PARAM Distribution Function Monte Carlo Parameter Distribution Monte Carlo Examples Gaussian Uniform and Limit Functions Major and Minor Distribution RC Time Constant 005 Switched Capacitor Filter Design Worst Case and Monte Carlo Sweep Example Transient Sigma Sweep Results Monte Carlo Results 0005 Simulating the Effects of Global and Local Variations with Monte Carlo Variations Specified on Geometrical Instance Parameters Variations Specified in the Context of Subcircuits 0005 Variations on a Model Parameter Using a Local Model in Subcircuit Indirect Variations on a Model Parameter Variations S pecified on Model Parameters Variations Specified Using DEV and LOT Combinations of Variation Specifications Variation on Model Parameters as a Func
178. 547 See also subcircuits Client server mode 26 Client 27 quitting 28 server 26 simulating 27 starting 26 CLOAD model parameter 203 CMOS output driver demo 513 tristate buffer optimization 481 commands hspice 20 hspice I 23 hspicerf 22 limit descriptors 249 output 241 comment line netlist 40 VEC files 218 common emitter gain 520 compression of input files 29 conductance for capacitors 306 pn junction 313 configuration MS Windows launcher 613 configuration file 14 continuation character parameter strings 228 continuation of line netlist 41 control options accuracy 299 defaults 336 algorithm selection 296 convergence 296 300 DC convergence 297 initialization 296 method 325 printing 248 transient analysis method 325 326 controlled sources 156 158 CONVERGE option 301 307 convergence control options 300 problems 307 analyzing 308 autoconverge process 302 causes 310 CONVERGE option 307 DCON setting 302 diagnosing 307 313 diagnostic tables 308 floating point overflow 307 GMINDC ramping 302 NODESET statement 293 reducing 304 cos x function 229 Index cosh x function 229 current branch 254 controlled current sources 157 180 277 voltage sources 157 195 278 in HSPICE elements 254 output 252 sources 184 C V plots 509 D D2A function 199 input model parameters 200 model parameter 199 See also mixed mode d2a file 199 Damped Pseudo Transient algorithm 307 data flow overview 7 DA
179. 62 362 362 362 363 363 363 364 365 366 367 367 367 367 368 368 12 Contents Two Port Transfer and Noise Measurements 000000 Output Format for Two Port Noise Parameters in sc Files VSWR aig ion Be Wight RR RE IR ORO e RNC EPIIT NIS ZIN xcs ohn a hid EUER YAN tint ack ee rtu c che rea bee unica n K STABILITY FACTOR Rollett Stability Factor MU STABILITY FACTOR Edwards Sinsky Stability Factor Maximum Available Power Gain C G MAX isssseeeees Maximum Stable Gain G MSG saaa Maximum Unilateral Transducer Power Gain G_TUMAX Unilateral Power Gain GU ouaaa Simultaneous Conjugate Match forG_MAX 0 Equivalent Input Noise Voltage and Current IN2 VN2 RHON Equivalent Noise Resistance and Conductance RN GN Noise Correlation Impedance and Admittance ZCOR YCOR ZOPT YOPT GAMMA OPT Optimum Matching for Noise Noise Figure and Noise Figure Minimum NF NFMIN Associated Gain G_AS 0 cece eene Extracting Mixed Mode Scattering S Parameters 0 0005 Die TAUNTS a5 ex a ceo te suot eR he Ps arn DU tow facetus Output File Formats corp Re e RR RR Eee Oe ae a Two Port Parameter Measurement 0 cee ees Output Format and Description 0 0 0 0 cee eee Features Supported ete n Prerequisites and Limitations l i Reported Statistics for the Performance Log
180. 7 HSPICE or HSPICE RF inserts conductance G in parallel with capacitance Cg This provides current paths around capacitances in DC analysis 306 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Diagnosing Convergence P roblems Figure 39 Conductance Insertion Cg original circuit Cg G AA G after conductance e insertion AAA AA G G G Cg DCSTEP Floating Point Overflow If MOS conductance is negative or zero HSPICE or HSPICE RF might have difficulty converging An indication of this type of problem is a floating point overflow during matrix solutions HSPICE or HSPICE RF detects floating point overflow and invokes the Damped P seudo Transient algorithm CONVERGE 1 to try to achieve DC convergence without requiring you to intervene If GMINDC is 1 0e 12 or less when a floating point overflows HSPICE or HSPICE RF sets itto 1 0e 11 Diagnosing Convergence Problems Before simulation HSPICE or HSPICE RF diagnoses potential convergence problems in the input circuit and provides an early warning to help you in debugging your circuit If HSPICE or HSPICE RF detects a circuit condition that might cause convergence problems it prints the following message into the output file Warning Zero diagonal value detected at node in equation solver which might caus
181. 8123p 1 7017m 5 5132u ibs 205 9804f 3 1881f 31 2989f 0 200 0000f ibd 0 0 0 168 7011f 0 vgs 4 9994 4 9992 69 9223 4 9998 67 8955 vds 4 9994 206 6633u 69 9225 64 9225 2 0269 vbs 4 9994 206 6633u 69 9225 0 2 0269 vth 653 8030m 745 5860m 732 8632m 549 4114m 656 5097m tolds 114 8609 82 5624 155 9508 104 5004 5 3653 tolbd 0 0 0 0 0 tolbs 3 534e 19 107 1528m 0 0 0 Traceback of Non Convergence Source To locate a non convergence source trace the circuit path for error tolerance For example in an inverter chain the last inverter can have a very high error tolerance If this is the case examine the error tolerance of the elements that drive the inverter If the driving tolerance is high the driving element could be the source of non convergence However if the tolerance is low check the driven element as the source of non convergence Examine the voltages and current levels of a non convergent MOSFET to discover the operating region of the MOSFET This information can flow to the location of the discontinuity in the model for example subthreshold to linear or linear to saturation When considering error tolerances check the current and nodal voltage values If these values are extremely low a relatively large number is divided by a very HSPICE 8 Simulation and Analysis User Guide 309 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Diagnosing Convergence P roblems small number This pro
182. 9 DTEMP 546 ERR1 467 GOAL 467 LAST 270 MONTE 554 optimization syntax 468 PAR 228 power output 257 PP 271 source functions 120 KF model parameter 350 L L Element inductor 85 LA FREQ option 502 LA MAXR option 502 LA MINC option 502 627 Index LA TIME option 502 LA TOL option 502 Laplace function 167 185 transform 167 185 frequency 169 185 LAST keyword 270 launcher MS Windows 611 leadframe example 513 LENGTH model parameter 564 Levenberg Marquardt algorithm 478 LIB call statement 51 statement 36 63 in ALTER blocks 51 53 with DEL LIB 55 with multiple ALTER statements 54 libraries adding with LIB 55 ASIC cells 62 building 51 configuring 236 creating parameters 234 DDL 61 duplicated parameter names 234 END statement 51 integrity 234 search 62 selecting 51 subcircuits 63 vendor 62 library input file 15 limit descriptors command 249 LIMIT keyword 557 line continuation VEC files 218 linear acceleration 499 capacitor 74 inductor 85 matrix reduction 499 resistor 68 lis file 16 18 Jis file 613 listing file 613 LM LICENSE FILE environment variable 11 LMAX model parameter 5 628 LMIN model parameter 5 LOAD statement 294 local parameters 234 truncation error algorithm 334 log x function 230 log10 x function 230 logarithm function 230 LV 267 LV18 model parameter 509 LVLTIM option 328 334 335 LX 267 LX7 model parameter 509 LX8 model parameter 509 LX9 model parameter 509 M M elem
183. A device during the simulation The implicit device parameter scaling factor S and the temperature difference between the element and circuit DTEMP are not supported Module and Parameter Name Case Sensitivity Verilog A is case sensitive whereas HSPICE is case insensitive This places certain restrictions on use in terms of module and parameter names and output control Module Names When an attemptto load a second module into the system with a module name that differs from a previously loaded module by case only then the second module is ignored and a warning message is issued HSPICE 8 Simulation and Analysis User Guide 415 Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices Module Parameters Parameters in the same module with names that only differ by case cannot be redefined in either Verilog A instance line or Verilog A MODEL cards HSPICE issues an error message and exits the simulaton Example In this example a simple amplifier accepts two parameters gain and Gain as input to the module module my amp in out electrical in out parameter real gain 1 05 parameter real Gain T 05s analog V out lt Gain gain V in endmodule If you instantiate this module as x1 n1 n2 my amp Gain 1 HSPICE cannot uniquely define the Gain parameter so a warning message is issued and the definition of Gain is ignored This module can be instantiated as is provided neither the Gain nor gain par
184. ACTOR E dwards amp Sinsky stability factor real unit less Gain Measurements G_mMax Maximum available operating power gain real power ratio G_msG Maximum stable gain real power ratio G_TuMAXx Maximum unilateral transducer power gain real power ratio G U Unilateral power gain real power ratio HSPICE 8 Simulation and Analysis User Guide 367 Y 2006 03 Chapter 11 Linear Network Parameter Analysis RF Measurements From LIN 368 Matching for Optimal Gain G MAX GAMMA S ource reflection coefficient needed to realize G MAX complex unit less G MAX GAMMA2 Load reflection coefficient needed to realize G MAX complex unit less G MAX Z1 Source impedance needed to realize G MAx complex Ohms G MAX Z2 Load impedance needed to realize G_MAx complex Ohms G MAX Y1 Source admittance needed to realize G_MAx complex Siemens G MAX y2 Load admittance needed to realize G MAX complex Siemens Noise Measurements VN2 E quivalent input noise voltage squared real V2 IN2 Equivalent input noise current squared real A2 RHON C orrelation coefficient between input noise voltage and input noise current complex unit less RN Noise equivalent resistance real Ohms GN Noise equivalent conductance real Siemens ZCOR Noise correlation impedance complex Ohms YCOR Noise correlation admittance complex Siemens ZOPT O ptimum source impedance for noise complex Ohms YOPT O ptimum source adm
185. ALE Scale factor for time HSPICE Simulation and Analysis User Guide Y 2006 03 HSPICE 8 Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Digital and Mixed Mode Stimuli To define up to 20 different states in the model definition use the SnNAME SnVLO and SnVHI parameters where nranges from 0 to 19 Figure 25 shows the circuit representation of the element Figure 25 Analog to Digital Converter Element Interface Node O e CLOAD RLOAD s Analog to Digital state conversion by U model level 4 y O Reference Node 205 Chapter 5 Sources and Stimuli Replacing Sources With Digital Inputs Replacing Sources With Digital Inputs 206 Figure 26 Digital File Signal Correspondence V1 carry in gnd PWL ONS lo 1NS hi 7 5NS hi 8 5NS lo 15NS lo R V2 A 0 gnd PWL ONS hi 1NS lo 15 0NS lo 16 0NS hi 30NS hi R V3 A 1 gnd PWL ONS hi 1NS lo 15 0NS lo 16 0NS hi 30NS hi R V4 B 0 gnd PWL ONS hi 1NS lo 30 0NS lo 31 0NS hi 60NS hi V5 B 1 gnd PWL ONS hi 1NS lo 30 0NS lo 31 0NS hi 60NS hi UC carry in VLD2A VHD2A D2A SIGNAME 1 IS 0 UA 0 A 0 VLD2A VHD2A D2A SIGNAME IS 1 UA 1 A 1 VLD2A VHD2A D2A SIGNAME 23 IS 1 UB 0 B 0 VLD2A VHD2A D2A SIGNAME 4 IS 1 UB 1 B 1 VLD2A VHD2A D2A SIGNAME 5 IS 1 0 1 1 0 2 0 3 0 4 0 5 75 0 1 150 1 1 1 2 1 3 225 0 1 300 1 1 0 2 0 3 1 4 1 5 37501 450 1 1 1 2 1 3 525 0 1 600 1 1 0 2 0 3 0 4 0 5 Example The foll
186. AULT INCLUDE 14 Hspice specific 232 output 243 AC 260 DC 251 transient 251 plotting 509 sweeping 519 TEMP 21 TMP 21 tmpdir 21 variables environment 11 variance statistical 545 variation block 636 absolute vs relative variation 442 access functions 442 advantages 432 dependent random variables 437 element parameter variations 439 example 443 general section 434 options 435 global sub blocks 435 independent random variables 436 local sub blocks 435 model parameter variations 438 overview 433 structure 434 variation block options Monte Carlo 450 VCCAP 188 VCCS See voltage controlled current source VCR See voltage controlled resistor VCVS See voltage controlled voltage source vector patterns 211 vendor libraries 62 Verilog value format 214 version 95 3 compatibility 336 VIH statement 217 VIL statement 217 Vnn node name in CSOS 49 VOH statement 217 VOL keyword 177 voltage failure 308 gain FREQ function 169 LAPLACE function 167 POLE function 168 initial conditions 293 logic high 217 logic low 217 nodal output DC 252 sources 165 195 252 summer VREF statement 217 VTH statement 217 Vxxx source element statement 120 W W Elements 101 warnings all nodes connected together 306 floating power supply nodes 48 zero diagonal value detected 307 waveform characteristics 216 Waveform Characteristics section 216 WHEN keyword 270 520 WIDTH for printout width 248 wildcard uses 45 WMAX model parameter 5 WMIN mod
187. AX ZOPT Y 3 1 M Y31 P Hybrid Parameter Calculations The hybrid parameters are transformed from S parameters Fora one port circuit the calculation is 105 H P MN I 11 SG E 511 Fora two port circuit the calculation is 1 1 Sp 5585 H z U gt mci cq H 91 1 Si 1 Sp 1585 H La FNE az Cb Su 5 FSS NES 2 gt ES a Zo 1 5 1 S99 1594 H ES 175 1 755 751555 E Zoll SDA 5 5585 For networks with more than two ports when computing the 1 2 H index parameters HSPICE assumes that ports numbered 3 and above terminate in their port reference impedance z0 The above two port calculations therefore remain appropriate because S11 S12 S21 and S22 remain valid and simulation can ignore higher order S parameters HSPICE 8 Simulation and Analysis User Guide 359 Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis 360 Multi Port Scattering S Parameters S parameters representthe ratio of incident and scattered or forward and reflected normalized voltage waves Figure 55 shows a two port network Figure 55 Two Port Network ll I2 t Two Port t Port 1 Z01 V1 Network V2 Z02 Port 2 The following equations define the incident forward waves for this two port network vi Zot _ V2 t Zoh 4 01 pe ee 2 JZoi 2 JZo The following equations define the scattered reflected waves for
188. C equation with the HERTZ keyword The HERTZ keyword represents the operating frequency In time domain analyses an expression with the HERTZ keyword behaves differently according to the value assigned to the CONVOLUTION keyword Syntax Cxxx n1 n2 C equation lt CONVOLUTION 0 1 2 FBASE val lt FMAX val gt gt Parameter Description n1 n2 Names or numbers of connecting nodes equation Expressed as a function of HERTZ If CONVOLUTION 1 or 2 and HERTZ is not used in the equation CONVOLUTION is turned off and the capacitor behaves conventionally The equation can be a function of temperature but it does not support variables of node voltage branch current or time If these variables existin the expression and CONVOLUTION 1 or 2 then only their values at the operating point are considered in calculation CONVOLUTION Specifies the method used 0 default HERTZ 0 in time domain analysis lor 2 performs Inverse Fast Fourier Transformation IFFT linear convolution FBASE Base frequency to use for transient analysis This value becomes the base frequency point for Inverse Fast Fourier Transformation IFFT when CONVOLUTION 1 or 2 If you do not set this value the base frequency is a reciprocal value of the transient period FMAX Maximum frequency to use for transient analysis Used as the maximum frequency point for Inverse Fourier Transformation If you do not set this value the reciprocal value of RISET
189. CE RF are OPTION CO to setcolumn widths in printouts WIDTH Statement to set the width of a printout OPTION INGOLD for output in exponential form HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Displaying Simulation Results OPTION POST to display high resolution AvanWaves plots of simulation results on either a graphics terminal or a high resolution laser printer OPTION ACCT to generate a detailed accounting report HSPICE RF does not support this statement Changing the File Descriptor Limit A simulation that uses a large number of ALTER statements might fail because of the limit on the number of file descriptors For example for a Sun workstation the default number of file descriptors is 64 so a design with more than 50 ALTER statements probably fails with the following error message error could not open output spool file tmp tmp nnn a critical system resource is inaccessible or exhausted To preventthis error on a Sun workstation enter the following operating system command before you start the simulation limit descriptors 128 For platforms other than Sun workstations ask your system administrator to help you increase the number of files that you can open concurrently Printing the Subcircuit Output The following examples demonstrate how to print or plot voltages of nodes that are in subcircuit definitions using PRINT PLOT PROBE Or GRAPH
190. Contents Comments and Line Continuation esee Element and Source Statements 0 ccc ccc een Defining Subcircuits Node Naming Conventions Using Wildcards on Node Names ssseeeeeene Element Instance and Subcircuit Naming Conventions Subcircuit Node Names Path Names of SubcircuitNodes iss n eh Abbreviated Subcircuit Node Names ss ee Automatic Node Name Generation 0 ccc eee ees Global Node Names Circuit Temperature Data Driven Analysis Library Calls and Definitions Library Building Rules Automatic Library Selection Defining Parameters Predefined Analysis Measurement Parameters 0 0 ccc s Altering Design Variables and Subcircuits 0 0 0005 Using Multiple ALTER Blocks 0 0 0 c eee eee Connecting Nodes Deleting a Library Ending a Netlist Condition C ontrolled Netlists IFELSE sese Using Subcircuits Hierarchical Parameters M Multiply Parameter S Scale Parameter Using Hierarchical Parameters to Simplify Simulation Undefined Subcircuit Search 32 34 34 36 36 37 39 40 40 41 44 44 45 47 47 48 48 49 49 50 50 51 51 51 52 52 53 53 54 54 55 55 55 57 58 58 59 59 60 Contents vi 4 Subcircuit Call Statement Discrete Device Libraries DDL Library A
191. D PS NRD and NRS to override the default calculations 3 CAPOP 4 models the most advanced charge conservation non reciprocal gate capacitances HSPICE or HSPICE RF calculates the gate capacitors and overlaps from the IDS model for LEVEL 49 or 53 Simulation ignores the CAPOP parameter instead use the CAPMOD model parameter with a reasonable value Guidelines for Choosing Accuracy Options Use OPTION ACCURATE for Analog or mixed signal circuits Circuits with long time constants such as RC networks Circuits with ground bounce Use the default options DvDT 4 for Digital CMOS CMOS cell characterization Circuits with fast moving edges short rise and fall times HSPICE 8 Simulation and Analysis User Guide 329 Y 2006 03 Chapter 9 Transient Analysis Numerical Integration Algorithm Controls For ideal delay elements use one of the following ACCURATE DVDT 3 pvpT 4 If the minimum pulse width of a signal is less than the minimum ideal delay set DELMAX to a value smaller than the minimum pulse width Numerical Integration Algorithm Controls 330 In HSPICE transient analysis you can select one of three options to convert differential terms into algebraic terms Gear Backward Euler Trapezoidal Gear algorithm OPTION METHOD GEAR Backward Euler OPTION METHOD GEAR MU 0 Trapezoidal algorithm default OPTION METHOD TRAP Each algorithm has advantages and disadvanta
192. E searches for the model reference name file that has an inc suffix For example if the data file includes an undefined subcircuit such as X 1 1 2 INV HSPICE searches the system directories for the inv inc file and when found places that file in the calling data file Subcircuit Call Statement Discrete Device Libraries 60 The Synopsys Discrete Device Library DDL is a collection of HSPICE device models of discrete components which you can use with HSPICE or HSPICE RF The lt installdir gt parts directory contains the various subdirectories that form the DDL Synopsys used its own ATEM discrete device characterization system to derive the BJ T MESFET JFET MOSFET and diode models from HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Subcircuit Call Statement Discrete Device Libraries laboratory measurements The behavior of op amp timer comparator SCR and converter models closely resembles that described in manufacturers data sheets HSPICE and HSPICE RF have a built in op amp model generator Note The value ofthe STNSTALLDIR environment variable is the pathname to the directory where you installed HSPICE or HSPICE RF This installation directory contains subdirectories such as parts and bin It also contains certain files such as a prototype meta cfg file and the license files DDL Library Access To include a DDL library component in a data file use the x subcirc
193. EL statement to set up the optimization Note HSPICE uses post processing output to compute the MEASURE Statements If you set INTERP 1 to reduce the post processing output the measurement results might contain interpolation errors See the HSPICE Command Reference for more information about these options HSPICE employs an incremental optimization technique This technique solves the DC parameters first then the AC parameters and finally the transient parameters A set of optimizer measurement functions not only makes transistor optimization easy but significantly improves cell and circuit optimization To perform optimization create an input netlist file that specifies Minimum and maximum parameter and component limits Variable parameters and components An initial estimate of the selected parameter and component values Circuit performance goals or a model versus data error function HSPICE amp Simulation and Analysis User Guide 465 Y 2006 03 Chapter 17 Optimization Overview 466 If you provide the input netlist file optimization specifications component limits and initial guess then the optimizer reiterates the circuit simulation until it either meets the target electrical specification or finds an optimized solution For improved optimization reduced simulation time and increased likelihood of a convergent solution the initial estimate of component values should produce a circuit whose speci
194. ENDS Invoke symbols in a design xl A Y1 Inv Bad No widths specified x2 A Y2 Inv Wid 1u Overrides illegal value for Width This simulation aborts on the x1 subcircuit instance because you never setthe required wid parameter on the subcircuit instance line The x2 subcircuit simulates correctly Additionally the instances of the 1nv cell are subject to accidental interference because the wid global parameter is exposed outside the domain of the library Anyone can specify an alternative value for the parameter in another section of the library or the circuit design This might prevent the simulation from catching the condition on x1 Example 2 In this example the name of a global parameter conflicts with the internal library parameter named wid Another user might specify such a global parameter in a different library In this example the user of the library has specified a different meaning for the wid parameter to define an independent source Param Wid 5u Default Pulse Width for source vl Pulsed 0 Pulse Ov 5v Ou O 1u 0 1u Wid 10u Subcircuit default definition SUBCKT Inv A Y Wid 0 Inherit illegals by default mpl NodeList Model L 1u W Wid 2 mn1 NodeList Model L 1u W Wid Ends Invoke symbols in a design xl A Y1 Inv Incorrect width x2 A Y2 Inv Wid 1u Incorrect Both x1 and x2 simulate with mpi1 10u and mnl 5u instead of 2u and lu Under global parameter scoping ru
195. ERR1 type function It provides linear attenuation of the error results starting at20 mA This function ignores all currents below 1 mA The high current fit is the most important for this model The opti function simultaneously optimizes all DC parameters The DATA statement merges TD1 dat and TD2 dat data files The graph plot model sets the MONO 1 parameter to remove the retrace lines from the family of curves 489 Chapter 17 Optimization Overview 490 GaAsFET Model DC Optimization Input Netlist File This example is based on demonstration netlist jopt sp which is available in directory lt installdir gt demo hspice devopt file opt bjt sp bjt optimization t2n3947 optimize the dc forward and reverse characteristics from a gummel probe all dc gummel poon dc parameters except base resistance and early voltages optimized tighten the simulator convergence properties option post nomod ingold 2 nopage vntol 1e 10 numdgt 5 reli 1e 4 relv 1e 4 S optimization convergence controls model optmod opt relin 1e 4 itropt 30 grad 1e 5 close 10 cut 2 cendif 1e 6 relout 1e 4 max 1e6 CAKE OOM temp ASVice xe ex A vber base 0 vbe vbcr base col vbc ql col base 0 bjtmod the model and inital guess model bjtmod npn iss 0 xtf 1 ns 1 cjs 0 vjs 0 50000 ptf 0 mjs 0 eg 1 10000 af 1 itf 0 50000 vtf 1 00000 fc 0 95000 xcjc 0 94836 subs 1 tf 0 0 tr 0 0 cje
196. Each of the three resistors obtains its simulation time resistance from the Val parameter The netlist defines the Val parameter in four places with three different values Figure 28 Hierarchical Parameter Passing Problem TEST OF PARHIER OPTION list node post 2 ingold 2 Subl Sub2 Sub3 parhier Local Global PARAM Val 1 x1 n0 0 Subi SubCkt Sub1 n1 n2 Val 1 rl n1 n2 Val rl r2 r3 x2 n1 n2 Sub2 Ends Sub1 SubCkt Sub2 n1 n2 Val 2 Iv r2 n1 n2 Val x3 nl n2 Sub3 Ends Sub2 SubCkt Sub3 n1 n2 Val 3 r3 n1 n2 Val Ends Sub3 OP END HSPICE amp Simulation and Analysis User Guide 239 Y 2006 03 Chapter 6 Parameters and Functions Parameter Scoping and Passing 240 The total resistance of the chain has two possible solutions 0 33339 and 0 54550 You can use OPTION PARHIER to specify which parameter value prevails when you define parameters with the same name at different levels of the design hierarchy Under global scoping rules if names conflict the top level assignment PARAM Val 1 overrides the subcircuit defaults and the total is 0 33330 Under local scoping rules the lower level assignments prevail and the total is 0 54550 one two and three ohms in parallel The example in Figure 28 produces the results in Table 21 based on how you set OPTION PARHIER to local global Table 21 PARHIERZLOCAL vs PARHIER GLOBAL Results Element PARHIER Local PARHIER
197. Example 2 The Tcable transmission line connects the inl node to the outl node Tcable inl gnd out1 gnd Z0 100 F 100k NL 1 Both signal references are grounded Impedance is 100 ohms The normalized electrical length is 1 wavelength at 100 kHz 106 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Transmission Lines Example 3 The Tnetl transmission line connects the driver node to the output node Tnetl driver gnd output gnd Umodell L 1m Both signal references are grounded Umodell references the U model The transmission line is 1 millimeter long Ideal Transmission Line For the ideal transmission line voltage and current will propagate without loss along the length of the line x direction with spatial and time dependence given according to the following equation v x t Re Ad or P Beat Po A j ot Bx B j wt Bx v x t Ree zt The A represents the incident voltage B represents the reflected voltage Zo is the characteristic impeadance and p is the propagation constant The latter are related to the transmission line inductance L and capacitance C by the following equation L Zi zm of B wJLC The L and C terms are in per unit length units Henries meter Farads meter The following equation gives the phase velocity a DU a P p JLC At the end of the transmission line x the propagation term B becomes the following equation
198. F does not support SAVE and LOAD statements If any node initialization commands such as NODESET and IC appear in the netlist after the LOAD command then they overwrite the LOAD initialization If you use this feature to set particular states for multistate circuits such as flip flops you can still use the SavE command to speed up the DC convergence SAVE and LOAD work even on changed circuit topologies Adding or deleting nodes results in a new circuit topology HSPICE initializes the new nodes as if you did not save an operating point HSPICE ignores references to deleted nodes but initializes coincidental nodes to the values that you saved from the previous run When you initialize nodes to voltages HSPICE inserts Norton equivalent circuits at each initialized node The conductance value of a Norton equivalent circuit is GMAX 100 which might be too large for some circuits If using SAVE and LOAD does not speed up simulation or causes simulation problems use OPTION GMAX 1e 12 to minimize the effect of Norton equivalent circuits on matrix conductances HSPICE still uses the initialized node voltages to initialize devices HSPICE RF does not output node voltage from operating point oP if time t lt 0 SAVE Statement The SAVE statement in HSPICE stores the operating point of a circuit in a file that you specify HSPICE RF does notsupportthe save statement For quick DC convergence in subsequen
199. FETs 97 C capacitor 74 IC parameter 292 identifiers 33 independent source 119 129 L inductor 85 markers mutual inductors 81 names 47 Index OFF parameter 290 parameters See element parameters 65 passive capacitors 71 inductor 78 mutual inductor 81 resistors 65 R resistor 68 statements 41 61 current output 253 independent sources 120 Laplace 167 pole zero 168 temperature 547 templates 266 286 analysis 243 BJ Ts 279 capacitor 275 current controlled 277 function 231 independent 278 inductor 276 JFETs 281 MOSFETs 283 mutual inductor 276 resistor 275 saturable core 286 voltage controlled 276 277 transmission line 101 105 109 voltage controlled 156 element parameters ALTER blocks 53 BJTs 93 94 623 Index PWL 139 143 resistors 66 67 transmission lines T Element 106 U Element 109 W Element 101 102 END statement for multiple HSPICE runs 55 in libraries 51 location 55 missing 29 with ALTER 54 ENDL statement 51 environment variable METAHOME 613 environment variables 11 61 520 LM LICENSE FILE 11 META QUEUE 11 12 port hostname 12 TEMP 21 TMP 21 tmpdir 21 equations 270 272 ERR function 272 ERR1 function 272 467 ERR2 function 273 ERR3 function 273 errors cannot open output spool file 249 DC 304 digital file has blank first line 199 file open 21 functions 272 273 internal timestep too small 291 311 317 missing END statement 29 no DC path to ground 306 no input data
200. FS 336 FT 336 minimum internal timestep 336 Minimum Timestep Coefficient 336 options 328 335 RELQ 335 RMAX 336 RMIN 336 TRTOL 335 TSTEP 336 default control algorithm 330 DVDT algorithm 335 local truncation error algorithm 334 reversal 334 TIMESTEP model parameter 204 title for simulation 40 TITLE statement 40 TMP directory 21 tmp directory 21 TMP environment variable 21 tmpdir environment variable 21 TNOM option 50 547 TOX model parameter 549 tr file 16 19 TRAN statement 469 547 transconductance FREQ function 169 LAPLACE function 167 POLE function 168 transfer sign function 230 transient analysis 243 initial conditions 293 316 inverter 320 RC network 318 sources 124 output variables 251 transient analysis measurement results file 19 transient analysis results file 19 transmission lines example 513 635 Index U Element 109 trapezoidal integration algorithm 330 TREF model parameter 547 548 triode tube 194 TRTOL option 335 truncation algorithm 334 TSTEP timestep control 336 two dimensional function 159 U U Elements 199 digital input 199 UIC analysis parameter 291 transient analysis parameter 317 UNIF keyword 557 uniform parameter distribution 553 unity gain frequency 520 UTRA model parameter restriction 304 V variability defined in HSPICE 431 introduction 431 simulating 431 variation block 432 variable environment METAHOME 613 variables AC formats 263 changing in ALTER blocks 53 DEF
201. Figure 121 DC Analysis Result Plot of v 14 v 15 v 4 and v 5 from bjtdiff swO Qj tage xen 04 Lerm ee eee EE M MM a t a e mo E d MM ae A25 af B15 1 1 006 ti num 21 LEL az 125 ola EEA The CosmosScope User s and Reference Manual includes a full tutorial information about the various Scope tools and reference information about the Measure tool You can also find more information on the Synopsys website http www synopsys com 610 HSPICE Simulation and Analysis User Guide Y 2006 03 C HSPICE GUI for Windows Describes how to use the HSPICE GUI for Windows To open and install the the GUI click on the HSPUI icon Figure 122 shows the directory structure for the HSPICE GUI for Windows Figure 122 Directory Structure Design dir l i Sim input Design Config Raw output Measures Sim output Sp cho tr ac sw _mt ma ms lis Working with Designs A new design can be created in several ways The Launcher allows you to browse for an input file for HSPICE which has the default file suffix sp The Launcher Browse button opens a standard file browser Selecting a file of the type lt design gt sp causes the Launcher to display the main form which contains the following items input filename design title the first line of the file lt design gt sp output filename HSPICE and AvanWa
202. Global rl 1 0 1 0 r2 2 0 1 0 r3 3 0 1 0 Parameter Passing Solutions Changes in scoping rules can cause different simulation results for circuit designs created before HSPICE Release 95 1 than for designs created after that release The checklist below determines whether you will see simulation differences when you use the new default scoping rules These checks are especially important if your netlists contain devices from multiple vendor libraries m Check your sub circuits for parameter defaults on the SUBCKT or MACRO line m Check your sub circuits for a PARAM statement within a SUBCKT definition To check your circuits for global parameter definitions use the PARAM statement fany ofthe names from the first three checks are identical set up two HSPICE simulation jobs one with OPTION PARHIER GLOBAL and one with OPTION PARHIER LOCAL Then look for differences in the output HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Overview of Output Statements 1 Simulation Output Describes how to use output format statements and variables to display steady state frequency and time domain simulation results You can also use output variables in behavioral circuit analysis modeling and simulation techniques To display electrical specifications such as rise time slew rate amplifier gain and current density use the output format features For descriptions of i
203. HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis RF Measurements From LIN Simultaneous Conjugate Match for G MAX A simultaneous conjugate match is required at the source and load to realize the G max gain value The source reflection coefficient at the input must be E cR EZ F c 2 Vier 2 2 2 B 1 sso Pi lal Cy 54 455 A S118227 515351 The load reflection coefficient G MAX GAMMA 2 is C5 By pon 9 Cj 216 2 2c In the preceding equation B 2 2 2 2 l0 jsgu s2 IAI ET E C 55 AS A 544555 812521 You can obtain useful solutions only when Bi ge 2 pcs These equations also imply that K 21 HSPICE RF derives calculations for the related impedances and admittances from the preceding values For G MAX Z1 IT Z o TIT HSPICE Simulation and Analysis User Guide 373 Y 2006 03 Chapter 11 Linear Network Parameter Analysis RF Measurements From LIN 374 For G MAX Z2 1 T Z 202 zi For G_MAX_Y1 1 T Ve Zol T For G_MAX_Y2 1 T Y a EC Zol T Equivalent Input Noise Voltage and Current IN2 VN2 RHON For each analysis frequency HSPICE RF computes a noise equivalent circuit for a linear two port The noise analysis assumes that all ports terminate in noise less resistances For circuits with more than two ports ports identified as 3 and above terminate and th
204. HSPICE Simulation and Analysis User Guide Y 2006 03 Example 3 Chapter 4 Elements Passive Elements This example is a 3 pin ideal balun transformer with shared DC path no DC isolation All inductors have the same value here set to unity k k KK all K s ideal o outl EK Lo2 1 oO 0 WOW Lol NER o out2 Xk in Lin EE Oo o in k k subckt BALUN3 in outil out2 Lo2 gnd Lol out2 Lin in K12 Lin K13 Lin K23 Lol ends Linear Inductors outl gnd out2 Lol Lo2 Lo2 HSL damh IDEAL IDEAL IDEAL Lxxx nodel node2 lt L gt inductance lt TCl val gt lt TC2 val gt M val DTEMP val lt IC val gt Parameter Description LXXX node1 and node2 Name of an inductor Names or numbers of the connecting nodes inductance Nominal inductance value in Henries L Inductance in Henries at room temperature TC1 TC2 Temperature coefficient M Multiplier for parallel inductors DTEMP Temperature difference between the element and the circuit IC Initial inductor current HSPICE Simulation and Analysis User Guide 85 Y 2006 03 Chapter 4 Elements Passive Elements Example LX A B 1E 9 LR 1 0 1u IC 10mA IXxisalnH inductor IRisaluH inductor with an initial current of 10 mA Frequency Dependent Inductors You can specify frequency dependent inductors using the L equation with the HERTZ keyword The HERTZ keyword rep
205. HSPICE Simulation and Analysis User Guide Version Y 2006 03 March 2006 SYNOPSYS Copyright Notice and Proprietary Information Copyright 2006 Synopsys Inc All rights reserved This software and documentation contain confidential and proprietary information thatis the property of S ynopsys Inc The software and documentation are furnished under a license agreement and may be used or copied d in accordance with the terms ofthe license agreement No part of the software and documentation may be reproduced transmitted or translated in any form or by any means electronic mechanical manual optical or otherwise without prior written permission of S ynopsys Inc or as expressly provided by the license agreement Right to Copy Documentation The license agreement with Synopsys permits licensee to make copies of the documentation for its internal use only Each copy shall include all copyrights trademarks service marks and proprietary rights notices if any Licensee must assign sequential numbers to all copies These copies shall contain the following legend on the cover page This document is duplicated with the permission of Synopsys Inc for the exclusive use of BEN RR EASDEM and its employees This is copy number Destination Control Statement All technical data contained in this publication is subject to the export control laws of the United States of America Disclosure to nationals of other countries contrary to United St
206. HSPICE collects the XL skew parameter for poly CD during fabrication This parameter is usually the most important skew parameter for a MOS process Figure 94 is an example of data that historical records produce HSPICE 8 Simulation and Analysis User Guide 549 Y 2006 03 Appendix A Statistical Analysis Worst Case Analysis Figure 94 Historical Records for Skew Parameters in a MOS Process 3 sigma 2si Fab Database dis 1 sigma Run PolyCD ires 101 0 04u 102 0 06u pop 103 0 03u Y XL value Using Skew Parameters in HSPICE Figure 95 on page 550 shows how to create a worst case corners library file for a CMOS process model in HSPICE HSPICE RF does not support worst case analysis Specify the physically measured parameter variations so that their proper minimum and maximum values are consistent with measured current IDS variations For example HSPICE can generate a 3 sigma variation in IDS from a 2 sigma variation in physically measured parameters Figure 95 Worst Case Corners Library File for a CMOS Process Model SS Slow Corner Skew Parameters IDS The LIB library statement and the INCLUDE include file statement access the models and skew The library contains parameters that 550 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Worst Case Analysis modify MODEL statements The following example of LIB featu
207. HSPICE RF Only Errors arid Warnings e slerailse oe reek e Rv ae bee bbe wah NET Parameter Analysis 0 0 0 0000 eese Network Analysis Example Bipolar Transistor 005 NET Parameter Analysis lisse Bandpass Netlist Network Analysis Results sisse Referencest cocleae s Dae MS REN ENERO E M Using Verllog iss sx re Rechte RR Re x OR A uerius Getting Started rieren iome hEn A RS PER EENESEABRer IS Sh ate tates Introduction to Verilog A 369 369 370 370 370 370 371 371 371 372 372 373 374 374 375 375 375 376 377 378 379 379 379 380 381 381 381 382 385 387 388 391 393 394 397 XV Contents xvi Mathematical Functions 00 00 cee eae Transcendental Functions 0c cee eee AC Analysis Stimull pen REY Noise Functions 00 ccc es Analog Events i i Aii biiain puddin ek awa Mab Timestep and Simulator Control 05 System Tasks and I O Functions 005 Simulator Environment Functions Module Hierarchy 0 0 0 0 cece eee eee Parameter Sets liliis Simulation with Verilog A Modules 0005 Loading Verilog A DeviceS 0 0c cece eens Verilog A File Search Path 0 00 e eee a ee Verilog A File Loading Considerations Instantiating Verilog A Devices 0 00000 eae Using Model Cards with Verilog A Modules Restrict
208. I for Windows Describes how to use the HSPICE GUI for Windows The HSPICE Documentation Set This manual is a part of the HSPICE documentation set which includes the following manuals Manual Description HSPICE Simulation and Analysis User Guide HSPICE Signal Integrity Guide HSPICE Applications Manual HSPICE Command Reference HPSPICE Elements and Device Models Manual HPSPICE MOSFET Models Manual HSPICE RF Manual AvanWaves User Guide Describes how to use HSPICE to simulate and analyze your circuit designs This is the main HSPICE user guide Describes how to use HSPICE to maintain signal integrity in your chip design Provides application examples and additional HSPICE user information Provides reference information for HSPICE commands Describes standard models you can use when simulating your circuit designs in HSPICE including passive devices diodes J FET and MESFET devices and BJT devices Describes standard MOSFET models you can use when simulating your circuit designs in HSPICE Describes a special set of analysis and design capabilities added to HSPICE to support RF and high speed circuit design Describes the AvanWaves tool which you can use to display waveforms generated during HSPICE circuit design simulation HSPICE 8 Simulation and Analysis User Guide Y 2006 03 About This Manual Other Related Publications Manual Description HSPICE Quick Provi
209. ICE Simulations 00 00 Running HSPICE RF Simulations 0 0 0 cece eee Running HSPICE Interactively 0 eh To Start Interactive Mode 6 ce es To Run a Command File in Interactive Mode 0 cc eee eee To Quit Interactive Mode lssssese Ih Running Multithreading HSPICE Simulations esses To Run Multithreading 0 ects Performance Improvement Estimations 0 00005 Using HSPICE in Client Server Mode ccc ccc ne To Start Client Server Mode 0 0 ccc ee Server Client To Simulate a Netlist in Client Server Mode 0 ccc ee To Quit Client Server Mode ccc eens Running HSPICE to Calculate New Measurements 0 eee eas To Calculate New Measurements 0 0 eee e eee eee neces Input Netlist and Data Entry 0 0 eee ee Input Netlist File Guidelines liliis Inp tEine Format feee duri e her ERR RET REA EE EREKE O FirSt Character ee deeds E E EA ORE Delimiters Node Identifiers 17 17 17 17 17 17 18 18 19 19 19 19 19 20 22 23 23 24 24 24 24 25 26 26 26 27 27 28 28 28 29 29 30 31 32 32 Instance Names Hierarchy Paths Numbers 000 Parameters and Expressions Input Netlist File Structure Schematic Netlists Input Netlist File Composition Title of Simulation
210. IME is taken HSPICE Simulation and Analysis User Guide 75 Y 2006 03 Chapter 4 Elements Passive Elements 76 Example C112 C2 1e 6 HERTZ 1e16 CONVOLUTION 1 fbase 10 fmax 30meg Behavioral Capacitors in HSPICE or HSPICE RF Cxxx nl n2 C equation CTYPE 0 or 1 Parameter Description CTYPE Determines the calculation mode for elements that use capacitance equations Set this parameter carefully to ensure correct simulation results HSPICE RF extends the definition and values of CTYPE relative to HSPICE CTYPE O if C depends only on its own terminal voltages that is a function of V n1 n2 CTYPE 1 if C depends only on outside voltages or currents CTYPE 2 if C depends on both its own terminal and outside voltages This is the default for HSPICE RF HSPICE does not support C 22 You can specify the capacitor value as a function of any node voltage or branch current and any independent variables such as time hertz and temper Example C110 C2 1e 9 V 10 CTYPE 1 V10 10 0 PWL O0 1v t1 1v t2 4v DC Block Capacitors Cxxx nodel node2 lt C gt INFINITY IC val When the capacitance of a capacitor is infinity this element is called a DC block In HSPICE you specify an INFINITY value for such capacitors HPSICE does not support any other capacitor parameters for DC block elements because HSPICE assumes that an infinite capacitor value is independent of any scaling fac
211. INDC 1068 MP to 16 12 Try DCON 2 4 Converged Results STEP 4 Adds CSHDC and GSHUNT from each node to ground Try CONVERGE 1 Ramps supplies from zero to the set values Removes CSHDC and GSHUNT after DC convergence Also iterates to a stable DC bias point Converged Results 4 STEP 5 Adds CSHDC from each node to ground Try CONVERGE 4 Ramps gmath cshdc delta in the range of 1 0e 12 to 10 0 Set gmath to zero if convergence occurs with gmath under 1 0e 12 and iterates further to a stable DC bias point lt Converged Results N Non convergence report In Figure 37 above Setting OPTION DCON 1 disables steps 2 and 3 Setting OPTION CONVERGE 1 disables steps 4 and 5 HSPICE Simulation and Analysis User Guide Y 2006 03 301 Chapter 8 Initializing DC Operating Point Analysis Accuracy and Convergence Setting OPTION DCON 1 CONVERGE 1 disables steps 2 3 4 and 5 If you set the Dv option to a value other than the default step 2 uses the value you set for Dv but step 3 changes pv to 1e6 Setting OPTION GRAMP has no effecton autoconverge Autoconverge sets GRAMP independently m Ifyou set OPTION GMINDC then GMINDC ramps to the value you set instead of to 1e 12 in steps 2 and 3 DCON and GMINDC The GMINDC option helps stabilize the circuit during DC operating point analysis For MOSFETs GMINDC helps stabilize the device in the vici
212. ION SPICE which has a default of 27 C You can use the DTEMP parameter to specify the temperature of the element You can set all optional parameters except MNAME in both the S element and the S model statement Parameters in element statements have higher priorities You must specify either the FOMODEL TSTONEFILE Of CITIFILE parameter in either the S model or the S element statement When used with the generic frequency domain model MODEL SP an S scattering element is a convenient way to describe a multi terminal network Figure 14 Terminal Node Notation N41 terminal system vinc 1 vinc N in jn vref vref N 4 nd O L O ndN v 1 v N OndR reference node HSPICE Simulation and Analysis User Guide 115 Y 2006 03 Chapter 4 Elements Transmission Lines 116 Frequency Table Model The frequency table model SP model is a generic model that you can use to describe frequency varying behavior Currently the S element and LIN command use this model For a description of this model see S mall Signal Parameter Data Frequency Table Model in the HSPICE Signal Integrity User Guide Group Delay Handler in Time Domain Analysis The S element accepts a constant group delay matrix in time domain analysis You can also express a weak dependence of the delay matrix on the frequency as a combination of the constant delay matrix and th
213. J T FT by using s parameters bjtgm sp plot BJ T Gm Gpi dpntun sp junction tunnel diode snaphsp sp convert SNAP to HSPICE tun sp tunnel oxide diode Cell Characterization installdir demo hspice cchar dff sp DFF bisection search for setup time inv3 sp characteristics of an inverter inva sp characteristics of an inverter invb sp characteristics of an inverter load1 sp inverter sweep delay versus fanout setupbsc sp setup characteristics setupold sp setup characteristics HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input Files File Name Description setuppas sp setup characteristics sigma sp sweep MOSFET 3 sigma to 3 sigma by using measure output tdgtl a2d Viewsim A2D HSPICE or HSPICE RF input file tdgtl d2a Viewsim D2A HSPICE or HSPICE RF input file tdgtl sp two bit adder by using D2A Elements Circuit Optimization installdir demo hspice ciropt ampgain sp set unity gain frequency of a BJ T diff pair ampopt sp optimize area power speed of a MOS amp asic2 sp optimize speed power of a CMOS output buffer asic6 sp find best width of a CMOS input buffer delayoptsp optimize group delay of an LCR circuit Ipopt sp match lossy filter to ideal filter opttemp sp find first and second temperature coefficients of a resistor rcopt sp optimize speed or power for an RC circuit
214. MEASURE statement Condition controlled netlists TF ELSEIF ELSE ENDIF statements Simulation Structure HSPICE 8 Simulation and Analysis User Guide Y 2006 03 Experimental Methods Supported by HSPICE Typically you use experiments to analyze and verify complex designs These experiments can be simple sweeps more complex Monte Carlo and optimization analyses HSPICE only or setup and hold violation analyses of DC AC and transient conditions Chapter 1 Overview Simulation Structure Figure 4 Simulation Program Structure Simulation Experiment Y Y Y Y Y Single point NET Statistical Timing Analysis epee aOn SEED Worst Case Violations Initial e ie Conditions Circuit Results Library Stimuli y Y y Transient DC AC y Options For each simulation experiment you must specify tolerances and limits to achieve the desired goals such as optimizing or centering a design Common factors for each experiment are m process voltage temperature parasitics HSPICE or HSPICE RF supports two experimental methods Single point a simple procedure that produces a single result or a single set of output data Multipoint performs an analysis single point sweep for each value in an outer loop multipoint
215. METERS OPT1 SENS NORM SEN PARAM LBB 1 5834N 27 3566X 2 4368 PARAM LCC 2 1334N 12 5835X 1 5138 PARAM LEE 723 0995P 254 2312X 12 3262 PARAM TF 12 7611P 7 43446 10 0532 PARAM CBE 620 5195F 23 0855G 1 5300 PARAM CBC 1 0263P 346 0167G 44 5016 PARAM RB 2 0582 12 8257M 2 3084 PARAM RE 869 8714M 66 8123M 4 5597 PARAM RC 54 2262 3 1427M 20 7359 PARAM IS 99 9900P 3 6533X 34 4463M HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview Figure 71 BJT S Parameter Optimization FILE BJ TOPT SP NETWORK S PARAMETER OPTIMIZATION APRIL 22 2004 6 22 34 20 0 BITOPT RCO S2LCKAG 10 0 PARIS2IN 2 g 1 9879 E BITOPT ACO 650 0N SLLCMAG 600 0N pARISL1N N 3 g 550 0N J z a 500 0N grropr ace 2 SePPIHAO 450 0N 399 PARIS EN 400 0N 1 1 r 1 1 1 96 8250N 50 0N P 20 0N wv 1 I I 1 I f 1 I l 1 J 100 0X 500 0X 1 08 1 508 2 06 HERTZ LIN BJT Model DC Optimization The goal is to match forward and reverse Gummel plots obtained from a HP4145 semiconductor analyzer by using the HSPICE LEVEL 1 Gummel Poon BJ T model Because Gummel plots are atlow base currents HSPICE does not optimize the base resistance HSPICE also does notoptimize forward and reverse Early voltages VAF and VAR because simulation did not measure VCE data The key feature in this optimization is in
216. NAND gate 526 527 NMOS E mode model LEVEL 8 539 noise analysis 525 op amp 525 526 characterization 530 532 voltage follower 527 539 optimization 526 2n3947 Gummel model 533 DC 533 diode 533 GaAs 533 group delay 529 Hfe 533 l V 533 JFETs 533 LEVEL 2 model beta 533 621 Index LEVEL 28 533 MOS 533 s parameter 533 speed power area 529 width 529 parameters 524 phase detector 526 locked loop 526 photocurrent 537 539 GaAs device 539 photolithographic effects 525 pll 526 pole zero analysis 525 535 pulse source 539 PWL 539 CCCS 527 CCVS 527 switch element 527 VCCS 526 527 VCO 527 VCVS 527 radiation effects 537 539 bipolar devices 537 DC l V J FETs 539 GaAs differential amplifier 539 J FETs devices 537 538 MOSFETs devices 538 NMOS 539 RC circuit optimization 529 resistor temperature coefficients 529 RG58 AU coax test 535 ring oscillator 527 Royer magnetic core oscillator 536 Schmidt trigger 525 sense amplifier 525 series source coupled transmission lines 540 setup 528 characterization 529 shunt terminated transmission lines 540 silicon controlled rectifier 527 sine wave sampling 526 527 single frequency FM source 539 sinusoidal source 539 skew models 526 SNAP to HSPICE conversion 528 sources 539 s parameters 528 535 536 622 sweep 525 switch 526 switched capacitor 526 527 539 temperature effects LEVEL 13 536 LEVEL 6 536 timing analysis 528 total radiation dose 538
217. ND Network Syntax Xij z ZIN z ZOUT z YIN 2 YOUT Z Parameter Description X Specifies Z impedance Y admittance H hybrid or S scattering ij i and j can be 1 or 2 They identify the matrix parameter to print Z Output type see Table 24 on page 261 If you omitz HSPICE or HSPICE RF prints the magnitude of the output variable ZIN Input impedance For a one port network ZIN Z11 and H11 are the same ZOUT Output impedance YIN Input admittance For a one port network YIN and Y11 are the same YOUT Output admittance Example PRINT AC 2Z11 R Z12 R Y21 1 Y22 S11 S11 DB PRINT AC ZIN R ZIN I YOUT M YOUT P H11 M PLOT AC 22 M S22 P S21 R H21 P H12 R The PRINT examples apply to both HSPICE and HSPICE RF The PLOT example applies only to HSP ICE HSPICE Simulation and Analysis User Guide 265 Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters Noise and Distortion This section describes the variables used for noise and distortion analysis Syntax ovar z Parameter Description ovar Noise and distortion analysis parameter It can be ONOISE output noise INOISE equivalent input noise or any of the distortion analysis parameters HD2 HD3 SIM2 DIM2 DIM3 Z Output type only for distortion If you omitz HSPICE or HSPICE RF outputs the magnitude of the output variable Example PRINT DISTO HD2 M HD
218. NDS PRINT V X1 25 V X1 X2 56 V 6 Value Description V X1 25 Local node to the YYY subcircuit definition which the X1 subcircuit calls V X1 X2 56 Local node to the ZZZ subcircuit The X2 subcircuit calls this node X1 calls X2 V 6 Voltage of node 16 in the X1 instance of the YYY subcircuit HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters This example prints voltage analysis results at node 56 within the X2 and X1 subcircuits The full path X1 X2 56 specifies that node 56 is within the X2 subcircuit which in turn is within the X1 subcircuit Selecting Simulation Output Parameters Parameters provide the appropriate simulation output To define simulation parameters use the OPTION and MEASURE statements and define specific variable elements DC and Transient Output Variables Voltage differences between specified nodes or between one specified node and ground Current output for an independent voltage source Current output for any element Current output for a subcircuit pin Element templates HSPICE only For each device type the templates contain e values of variables that you set State variables element charges capacitance currents capacitances derivatives Print Control Options on page 248 summarizes the codes that you can use to specify the element templates for output in HSPICE
219. OLUTION 2 mode HSPICE uses this value as the base frequency point for Inverse Fourier Transformation For recursive convolution the default value is OHz and for linear convolution HSPICE uses the reciprocal of the transient period FMAX Specifies the possible maximum frequency of interest The default value is the frequency point where the function reaches close enough to infinity value assuming that the monotonous function is approaching the infinity value and that it is taken at 10THz The equation should be a function of HERTZ If CONVOLUTION is turned on when a HERTZ keyword is not used in the equation it is automatically be turned off to let the resistor behave as conventional The equation can be a function of temperature but it cannot be node voltage or branch current and time The equation can only be a function of time independent variables such as hertz and temperature Example R1 1 2 r 1 0 1e 5 sqrt HERTZ CONVOLUTION 1 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements Skin Effect Resistors Rxxx nil n2 R value Rs value The Rs indicates the skin effect coefficient of the resistor The complex impedance of the resistor can be expressed as the following equation R Ro 1 j Rs sqrt f The Ro j and are DC resistance imaginably unit j 2 1 and frequency respectively Capacitors Cxxx n1 n2 mname lt C gt capacitance lt lt TCl gt
220. ORD GMIN PIVOT Assemble and Solve Matrix Equations PIVREL PIVTOL ABSV FAIL RELV Nodal Voltage Convergence Test 9 9 4 NEWTOL Converged HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Numerical Integration Algorithm Controls HSPICE RF Numerical Integration Algorithm Controls HSPICE RF The numerical integration algorithm control in HSPICE RF is described in RF Numerical Integration Algorithm Control in the HSPICE RF Manual Selecting Timestep Control Algorithms In HSPICE or HSPICE RF you can select one of three dynamic timestep control algorithms teration Count Dynamic Timestep Local Truncation Error Dynamic Timestep DVDT Dynamic Timestep Each algorithm uses a dynamically changing timestep which increases the accuracy of simulation and reduces the simulation time To do this simulation varies the value of the timestep over the transient analysis sweep depending on the stability of the output Dynamic timestep algorithms increase the timestep value when internal nodal voltages are stable and decrease the timestep value when nodal voltages change quickly Figure 48 Internal Variable Timestep Changing Time Step Dynamic Atp 1 Atp HSPICE Simulation and Analysis User Guide 333 Y 2006 03 Chapter 9 Transi
221. PARAM statements specify XL XW TOX and RSH process variation parameters as constants The device characterizes these measured parameters The model references parameters In GAMMA GAMMA the left side is a Level 3 model parameter name the right side is a PARAM parameter name The long PARAM statement specifies initial min and max values for the optimized parameters Optimization initializes UO at 480 and maintains it within the range 400 to 1000 The first DC statement indicates that Data is in the in line DATA all block which contains merged gate and drain curve data e Parameters that you declared as OpT1 in this example all optimized parameters are optimized The comP1 error function matches the name ofa MEASURE statement The OPTMOD model sets the iteration limit HSPICE 8 Simulation and Analysis User Guide 471 Y 2006 03 Chapter 17 Optimization Overview 472 The MEASURE statement specifies least squares relative error HSPICE divides the difference between data par ids and model i m1 by the larger of the absolute value of par ids or e minval 10e 6 If you use minval low current data does not dominate the error Use the remaining DC and PRINT statements for print back after optimization You can place them anywhere in the netlist input file because parsing the file correctly assigns them The PARAM VDS 0 VGS 0 VBS 0 IDS 0 statements declare these data column names
222. PERATOR can be amp amp AND OR The operator stands for matches All pattern matching operations are case insensitive A leading subcircuit prefix is ignored when matching the element name Example In this example only resistor ral varies ral 1 0 1k rb12 0 1k variation local variation element variation R element name ra R 20 end element variation end local variation end variation In a more complex case the variation is modeled as a dependency on one or several previously defined random variables by using the following syntax element type condition clause element parameter Perturb Expression Example In this example only resistor ra2 is affected by the temperature variation specified with a uniform distribution from 0 to 10 degrees the resistor is located nextto a power device ral 1 0 1k rbi 2 0 1k ra2 3 0 rpoly 1 10u w 1u rb2 4 0 rpoly 1 10u w 1u model rpoly r rsh 100 tcl 0 01 variation local variation element variation parameter tempvar U R element_name ra amp amp model name 2 rpoly dtemp perturb 10 tempvar 5 end element variation end local variation end variation HSPICE 8 Simulation and Analysis User Guide 441 Y 2006 03 Chapter 14 Variation Block Variation Block Structure 442 Absolute Versus Relative Variation By default the specified variation is absolute which means additive to the original model or element parameter howeve
223. POST Or OPTION PROBE SIM DELTATI and SIM DELTAV Parameter Description print Writes the output from the PRINT statement to a print file HSPICE does not generate a print file The header line contains column labels The first column is time The remaining columns represent the output variables specified with PRINT Rows that follow the header contain the data values for simulated time points tri Writes output from the PROBE PRINT PLOT GRAPH or MEASURE statement to a tr file Transient Analysis of an RC Network Follow these steps to run a transient analysis of a RC network with a pulse source a DC source and an AC source l Type the following netlist into a file named quickTRAN sp A SIMPLE TRANSIENT RUN OPTION LIST NODE POST OP TRAN 10N 2U PRINT TRAN V 1 V 2 I R2 I C1 V1 1 0 10 AC 1 PULSE 0 5 10N 20N 20N 500N 2U R1 1 2 1K R2 2 0 1K C1 2 0 001U END This example is based on demonstration netlist quicKTRAN sp which is available in directory installdir demo hspice apps A SIMPLE TRANSIENT RUN OPTION LIST NODE POST OP TRAN 10N 2U PRINT TRAN V 1 V 2 I R2 I C1 V1 1 0 10 AC 1 PULSE 0 5 10N 20N 20N 500N 2U HSPICE Simulation and Analysis User Guide Y 2006 03 6 Chapter 9 Transient Analysis Overview of Transient Analysis R1 1 2 1K R2 201K C1 2 0 001U END Note The V1 source specification includes a pulse source F
224. POST statement then the output file contains simulation output suitable for a waveform display tool Running HSPICE Simulations 20 Use the following syntax to start HSPICE hspice i path input file o lt path output_file gt gt n number html path html file b lt d gt C path input file I K L command file S mt number meas measurefile hdl filename hdlpath pathname lt lt name gt vamodel lt name2 gt gt For a description of the hspice command syntax and arguments see HSPICE Command Syntax in the HSPICE Command Reference When your invoke an HSPICE simulation the following sequence of events occurs 1 Invocation For example atthe shell prompt enter hspice demo sp gt demo out amp This command invokes the UNIX hspice shell command on input netlist file demo sp and directs the output listing to file demo out The amp character at the end ofthe command invokes HSPICE in the background so that you can continue to use the window and keyboard while HSPICE runs Script execution The hspice shell command starts the HSPICE executable from the appropriate architecture machine type directory The UNIX run script launches a HSPICE simulation This procedure establishes the environment for the HSPICE executable The script prompts for information such as the platform that you are using and the version of HSPICE to run Availab
225. Parameters Table 24 AC Output Variable Types Type Symbol Variable Type DB decibel imaginary part M magnitude P phase R real part T group delay S pecify real or imaginary parts magnitude phase decibels and group delay for voltages and currents Nodal Capacitance Output Syntax Cap nxxx For nodal capacitance output HSPICE prints or plots the capacitance of the specified node nxxxx Example print ac Cap 5 Cap 6 Nodal Voltage Syntax Vz ni lt n2 gt Parameter Description Zz S pecifies the voltage output type see Table 24 on page 261 n1 n2 S pecifies node names If you omit n2 HSPICE or HSPICE RF assumes ground node 0 HSPICE amp Simulation and Analysis User Guide 261 Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters 262 Example This example applies to HSPICE but not HSPICE RF It plots the magnitude of the AC voltage of node 5 using the VM output variable HSPICE uses the VDB output variable to plot the voltage at node 5 and uses the VP output variable to plot the phase of the nodal voltage at node 5 PLOT AC VM 5 VDB 5 VP 5 HSPICE and SPICE Methods for Producing Complex Results To produce complex results an AC analysis uses either the SPICE or HSPICE method and the OPTION ACOUT control option to calculate the values of real or imaginary parts for complex voltages of AC analysis and their magnitude
226. RF circuit description syntax is compatible with the SPICE input netlist format Figure 7 shows the basic structure of an input netlist Figure 7 Basic Netlist Structure Title line First line is automatically a comment Comments all lines beginning with an asterisk Input control statements Netlist body description of circuit topology MODEL statements Element and input control statements OPTION statements OPTION With option statements PRINT and other output statements ee Analysis statement such as POWER TRAN contro statements END The following is an example of a simple netlist file called inv_ckt in Itshows a small inverter test case that measures the timing behavior of the inverter To create the circuit 1 Define the MOSFET models forthe PMOS and NMOS transistors of the inverter 2 Insert the power supplies for both VDD and GND power rails Insert the pulse source to the inverter input This circuit uses transient analysis and produces output graphical waveform data for the input and output ports of the inverter circuit Sample inverter circuit KKKK MOS models KKKKK MODEL ni NMOS LEVEL 3 THETA 0 4 MODEL p1 PMOS LEVEL 3 Define power supplies and sources VDD VDD 0 5 VPULSE VIN 0 PULSE 0 5 2N 2N 2N 98N 200N VGND GND 0 0 Actual circuit topology M1 VOUT VIN VDD VDD pl M2 VOUT VIN GND GND n1 Analysis st
227. RF multiplies source current by M Default 1 0 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Elements Example 1 VX 10 5V Where The VX voltage source has a 5 volt DC bias The positive terminal connects to node 1 The negative terminal is grounded Example 2 VB 2 0 DC VCC Where The vcc parameter specifies the DC bias for the vB voltage source The positive terminal connects to node 2 The negative terminal is grounded Example 3 VH 3 6 DC 2 AC 1 90 Where The VH voltage source has a 2 volt DC bias and a 1 voltRMS AC bias with 90 degree phase offset The positive terminal connects to node 3 The negative terminal connects to node 6 Example 4 IG 8 7 PL 1MA 0S 5MA 25MS Where The piecewise linear relationship defines the time varying response for the IG current source which is 1 milliamp at time 0 and 5 milliamps at 25 milliseconds The positive terminal connects to node 8 The negative terminal connects to node 7 HSPICE 8 Simulation and Analysis User Guide 121 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Elements 122 Example 5 VCC in out VCC PWL 0 0 10NS VCC 15NS VCC 20NS 0 Where The vcc parameter specifies the DC bias for the vcc voltage source The piecewise linear relationship defines the time varying response for the vcc voltage source which is 0 volts at time 0 vcc from 1
228. RINT or PROBE to generate necessary HSPICE only plot in the output listing file Generates high resolution plots for specific printing devices such as HP Laser et or in PostScript format intended for hard copy outputs without using a post processor PROBE Outputs data to postprocessor output files but not to the output listing used with OPTION PROBE to limit output MEASURE Prints the results of specific user defined analyses and post processor data if you specify OPTION POST to the output listing file or HSPICE RF DOUT S pecifies the expected final state of an output signal HSPICE only not supported in HSPICE RF SSTIM HSPICE Specifies simulation results to transform to PWL Data Card or only Digital Vector File format Output Variables The output format statements require special output variables to print or plot analysis results for nodal voltages and branch currents HSPICE or HSPICE RF uses the following output variables DC and transient analysis AC analysis HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Displaying Simulation Results element template HSPICE MEASURE statement parametric analysis For HSPICE or HSPICE RF DC and transient analysis displays individual nodal voltages V n1 n2 branch currents Vxx element power dissipation n element AC analysis displays imaginary and real components of a nodal voltage o
229. Running Demonstration Files Demonstration Input Files rad8 sp J FET RLEV 1 example with Wirth Rogers square pulse rad9 sp J FET stepwise increasing radiation source rad10 sp GaAs RLEV 5 example semi empirical model radll sp NMOS E model LEVEL 8 with Wirth Rogers square pulse rad12 sp NMOS 0 5x resistive vi Ru htage d eivG uirse HSPICE Simulation and Analysis User Guide 539 Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input F iles 540 File Name Description fr4x sp FR4 microstrip test hd sp ground bounce for I O CMOS driver rcsnubts sp ground bounce for I O CMOS driver at snubber output rcsnubtt sp ground bounce for I O CMOS driver stripl sp two microstrips in series 8 mil and 16 mil wide strip2 sp two microstrips coupled together t14p sp 1400 mil by 140 mil 50 ohm tline on FR 4 50 MHz to 10 05 GHz t14xx sp 1400 mil by 140 mil 50 ohm tline on FR 4 optimization t1400 sp 1400 mil by 140 mil 50 ohm tline on FR 4 optimization tcoax sp RG58 AU coax with 50 ohm termination tfr4 sp microstrip test tfr4o sp microstrip test tl sp series source coupled and shunt terminated transmission lines transmis sp algebraics and lumped transmission lines twin2 sp twin lead model xfr4 sp microstrip test sub circuit expanded xfr4a sp microstrip test sub circuit expanded larger ground resistance xfr4b sp microstrip test xulump sp test 5 20
230. S CV AND GM PLOTS APRIL 24 2004 14 53 29 59 5887U 5500 amp 50 0U 45 0U 40 0U 35 0U 30 0U 25 0U 20 0U 0500z J j wou f fJ soui LET 0 A lo ee ey owe ew acd oow nor a cb 4 LX LIN UN villin ov aidbiciilinndiunilun VOLTS LIN HSPICE Simulation and Analysis User Guide 515 Y 2006 03 Chapter 19 Running Demonstration Files CMOS Output Driver Demo Figure 87 Asic1 sp Demo Local Supply Voltage 13 7840F LX LIN 13 0F 12 0F 11 0F 10 0F P o oup 6 0F FILE MOS1VGS SP IDS VGS CV AND GM PLOTS APRIL 24 2003 15 24 31 0 2 0 3 0 VOLTS LIN ped tar Pos eae Gea Nd Ne 1 4 0 Lt Crea daga iba idiaas rdaa ia aar ra lanua laia cdi ii e HOS VYCV SVU 6 TOT_N C6 TOT_P a 516 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files CMOS Output Driver Demo Figure 88 Asic1 sp Demo Local Supply Current FILE ASIC1 SP GROUND BOUNCE FOR I O CMOS DRIVER APRIL 24 2003 15 29 24 5 8829 RSICL TRO LYSS 2H AA por E bod T4 DA od E E E E A Fes EE ape pie Mene imf A Aor Se i i Z re a dS x AA eae z s a 305 2 z 2 0 10 Fr LOZ 7 3 6l Yd e on ee pee ee Pa et a 0 5 0N 10 0N 15 0N 20 0N 25 0N 30 0N TIME LIN HSPICE Simulation and Analysis User Guide 517 Y 2006 03 Chapter 19 Running Demonstration Files
231. SPICE 8 Simulation and Analysis User Guide 427 Y 2006 03 Chapter 12 Using Verilog A Known Limitations Delays absdelay event controlled constructs memory states variables that hold their value between timesteps and explicit time dependent functions are not supported in RF analyses Known Limitations This section describes the known limitiations when using Verilog A with HSPICE analysis Function Behavior The analysis function definition assumes that the operating point OP analysis associated with any user specified analysis is unique to that user specified analysis For example when you specify the following function it must return 1 for AC analysis and 1 for its underlying operation point OP analysis analysis ac Similarly analysis tran mustreturn 1 for transient analysis and 1 for its underlying OP analysis In HSPICE a single common OP analysis is performed in the setup that is outside the context of AC transient or other analyses Since that OP is outside the context of the user specified analysis the analysis function does not know the parent analysis type during the OP analysis The analysis ac analysis tran and so on returns 0 during this common OP analysis You can ensure that the analysis function returns true 1 during these analyses by adding static to the list of functions Example if analysis ac begin do something end 428 HSPICE Simul
232. Simulation Output Overview of Output Statements 0 0 0 0 ee Output Commands Output Variables Displaying Simulation Results 216 216 217 218 218 218 219 219 220 223 223 223 225 226 226 226 227 227 227 228 229 233 234 234 238 239 240 241 241 241 242 243 PRINT Statement Statement Order PLOT Statement PROBE Statement GRAPH Statement Contents MODEL Statement for GRAPH ccc eee ee eee Using Wildcards in PRINT PROBE PLOT and GRAPH Statements Supported Wildcard Templates 0 ccc cece eee eens Print Control Options Changing the File Descriptor Limit 0 0 0 cee eee ae Printing the Subcircuit Output 0 ee Selecting Simulation Output Parameters 1 0 0 eee DC and Transient Output Variables 0 0 0 0 a Nodal Capacitance Output 0 00 eee eee Nodal Voltage Current Independent Voltage Sources 000 e eee ee Current Element Branches 0 0 cece nh Current SubcircuitPin lille RII Power Output PrintorPlot POWOFs mada anid iden events Pherae ees RARE ER ens Diode Power Dissipation 0 0 0 c cece eee BJ T Power Dissipation 0 0 0 0 esee nn J FET Power Dissipation 0 00 e eee MOSFET Power Dissipation cox Rb headed AC Analysis Output Variables 0 0 ccc eee Nodal Capacitance Output 0 0 0
233. Standard Input Files This section describes the standard input files to HSPICE or HSPICE RF Design and File Naming Conventions The design name identifies the circuit and any related files including Schematic and netlist files Simulator input and output files Design configuration files Hardcopy files HSPICE HSPICE RF and AvanWaves extract the design name from their input files and perform actions based on that name For example AvanWaves reads the lt design gt cfg configuration file to restore node setups used in previous AvanWaves runs HSPICE HSPICE RF and AvanWaves read and write files related to the current circuit design Files related to a design usually reside in one directory The output file is stdout on Unix platforms which you can redirect Table 1 lists input file types and their standard names The sections that follow describe these files Table 1 Input Files Input File Type File Name Output configuration file meta cfg Initialization file hspice ini DC operating point initial conditions file lt design gt ic HSPICE Simulation and Analysis User Guide 13 Y 2006 03 Chapter 2 Setup and Simulation Standard Input F iles 14 Table1 Input Files Continued Input File Type File Name Input netlist file lt design gt sp Library input file library name Analog transition data file lt design gt d2a Output Configuration File You use the output configuration fi
234. TA statement 50 data driven analysis 50 data type definitions 359 data driven analysis 545 PWL source function 143 db x function 230 D C analysis 242 296 297 capacitor conductances 306 initialization 296 convergence control options 296 297 errors reducing 304 operating point analysis 291 bypassing 317 initial conditions file 14 See also operating point sources 123 sweep 295 DC analysis measurement results file 17 DC analysis results file 17 DC statement 295 469 547 DCCAP option 508 DCMATCH output tables file 19 DCON option 301 302 DCSTEP option 306 619 Index DCVOLT statement 293 DDL 61 520 DDLPATH environment variable 61 520 decibel function 230 DEFAULT INCLUDE variable 14 definitions data types 359 DEFW option 236 DEL LIB statement 36 in ALTER blocks 53 with ALTER 55 with LIB 55 with multiple ALTER statements 54 DELAY element parameter 190 196 delays element example 193 group 264 time TD 264 DELMAX option 328 336 340 DELTA element parameter 190 196 DELVTO model parameter 549 demo files 2n2222 BJ Ts transistor characterization 533 2n3330 J FETs transistor characterization 532 A D flash converter 529 A2D 529 AC analysis 525 acl gate 526 adders 72 transistor two bit 527 BJ T NAND gate two bit 526 BJ T two bit 525 D2A 529 MOS two bit 526 NAND gate four bit binary 525 air core transformer 536 algebraic output variables 524 525 parameters 524 transmission lines 540 ALTER state
235. TION CBC line turns on context based control The next line sets vector A to a logic low at 10 0 ns and vector z is do not care Because the L value is under vector B HSPICE expects a logic low output HSPICE Simulation and Analysis User Guide 217 Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File 218 At 20 ns vector A transitions high and the expected outputs at vectors z and B are high Finally at 30 ns HSPICE expects vector z to be low vector B changes from an outputto a high impedance input and vector the A signal does not change Comment Lines and Line Continuations Any line in a VEC file that begins with a semicolon is a comment line Comments can also start at any point along a line HSPICE or HSPICE RF ignores characters after a semicolon For example This is a comment line radix 11 4 1234 This is a radix line As in netlists any line in a VEC file that starts with a plus sign is a continuation from the previous line Parameter Usage You can use PARAM statements with some VEC statements when you run HSPICE These vEc statements fall into the three groups which are described in the following sections No other vEC statements but those identified here support PARAM statements First Group PERIOD m TDELAY IDELAY E ODELAY s SLOPE TRISE i TFALL For these statements the TUNIT statement defines the time unit If you do not include a TUNIT statement the def
236. TRAN SLEW DERIV V OUT WHEN V 1 2 0 90 VDD HSPICE 8 Simulation and Analysis User Guide 271 Y 2006 03 Chapter 7 Simulation Output Specifying User Defined Analysis MEASURE ERROR Function The relative error function reports the relative difference between two output variables You can use this format in optimization and curve fitting of measured data The relative error format specifies the variable to measure and calculate from the PARAM variable To calculate the relative error between the two HSPICE or HSPICE RF uses the ERR ERR1 ERR2 Of ERR3 function With this format you can specify a group of parameters to vary to match the calculated value and the measured data Error Equations ERR ERR sums the squares of M C max M MINVAL for each point It then divides by the number of points Finally it calculates the square root of the result M meas var is the measured value of the device or circuit response e C calc var is the calculated value of the device or circuit response NPTS is the number of data points NPTS 1 2 ERR ee e a y NPTS 2 max MINVAL M i 1 ERR1 ERR1 computes the relative error at each point For NPTS points HSPICE or HSPICE RF calculates NPTS ERR1 error functions For device characterization the ERR1 approach is more efficient than the other error functions ERR ERR2 ERR3 ERR _ max MINVAL M i 1 NPTS 272 HSPICE Simulat
237. The H parameters of a two port network relate the voltages and currents at input and output ports V All J1 h12 V2 I2 h21 I1 h22 V2 In the preceding equations a ga All ale Hybrid matrix h21 h22 V1 Voltage at input port 2 Current at output port V2 Voltage at output port 1 Current at input port You can add the hybrid H parameter matrixes of two networks to describe networks that are in series attheir input and in parallel at their output LIN can calculate H parameters based on the scattering parameters of the networks LIN analysis can extract one port and two port network H parameters For networks with more than two ports LIN assumes that the ports numbered 3 and above terminate in their port characteristic impedance Zc i i22 HSPICE 8 Simulation and Analysis User Guide 365 Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis 366 Group Delay Group delay measures the transit time of a signal through a network versus frequency It reduces the linear portion of the phase response to a constant value and transforms the deviations from linear phase into deviations from constant group delay which causes phase distortion in communications systems The average delay represents the average signal transittime through a network system HSPICE can output the result of LIN group delay measurement to a sc Touchstone or CITIfile Group delay is a function of frequen
238. This type of output is useful for plotting the effects of mismatch as a function of bias current temperature or a circuit parameter Examples In the first example the contribution of the variations on vthO threshold of the nmos devices with model SNP S20N is saved In the second example the contribution of device mn1 in subcircuit X8 is saved probe dcm global nmos SNPS20N vth0 probe dcm local X8 mn1l Syntax for MEASURE Command With MEASURE statements HSPICE performs measurements on the simulation results and saves them in a file with a ms extension MEAS DC resl max DCm total MEAS DC res2 max DCm global MEAS DC res3 max DCm local MEAS DC res4 max DCm global ModelType ModelName ParameterName MEAS DC res5 max DCm local InstanceName MEAS DC res6 find DCm local at SweepValue MEAS DC res7 find DCm local InstanceName at SweepValue Example In this example the result systoffset reports the systematic offset of the amplifier the result matchoffset reports the variation due to mismatch and the result maxoffset reports the maximum 3 sigma offset of the amplifier MEAS DC systoffset avg V inp inn MEAS DC matchoffset avg DCm local MEAS DC maxoffset param abs systoffset 3 0 matchoffset Practical Considerations This section discusses practical considerations when using DC match analysis DCmatch Variability as a Function of Device Geometry Various parameter relationships for device
239. Threshold applies to this column cumulative variance through ith device in percent Yisigma k 1 x 100 Y sigma 1 The table also includes a suggestion on matched devices that should be verified independently Devices with the same number in the column Matched pair are likely to be matched Their layout should be reviewed for conformity to established matching rules Example sweep point operating point output v out node voltage 1 25V threshold 1 000E 2 perturbation 2 00 interval 1 Output sigma due to global and local variations 619 62uV DCMATCH GLOBAL VARIATION 10 Devices had no Global Variability specified Output sigma due to global variations 289 66uV Contribution Contribution Cumulative Independent Sigma V Variance Variance Variable 227 94u 61 92 61 92 snps20p u0 139 48u 23 19 85 11 snps20p vtho 109 93u 14 40 99 51 snps20n u0 20 19u 485 62m 100 00 snps20nQGvthO DCMATCH LOCAL VARIATION 10 Devices had no Local Variability specified Output sigma due to local variations 547 74uV 4 Devices with Contribution Variance larger than Threshold Contribution Contribution Cumulative Matched Device Sigma V Variance Variance pair Name HSPICE 8 Simulation and Analysis User Guide 459 Y 2006 03 Chapter 16 DC Mismatch Analysis DCmatch Analysis 460 295 2 95 252 247 6 T 658 0 88u 65u 09u 94u 49u 72u 15n
240. User Guide Y 2006 03 201 Chapter 5 Sources and Stimuli Digital and Mixed Mode Stimuli 202 Figure 24 Digital to Analog Converter Element RHI o AR Node to Hi ref hu source VI CHI o CLO Interface Node to I Node Low ref U 7 source Oo RLO Example The following example shows how to use the U element and model as a digital input for a HSPICE netlist you cannot use the U element in a HSPICE RF netlist This example is based on demonstration netlist uelm sp which is available in directory lt installdir gt demo hspice sources EXAMPLE OF U ELEMENT DIGITAL INPUT option post UC carry in VLD2A VHD2A D2A SIGNAME 1 IS 0 VLO VLD2A GND DC O0 VHI VHD2A GND DC 1 MODEL D2A U LEVEL 5 TIMESTEP 1NS SONAME 0 SOTSW 1NS SORLO 15 SORHI 10K S2NAME x S2TSW 3NS S2RLO 1K S2RHI 1K S3NAME z S3TSW 5NS S3RLO 1MEG S3RHI 1MEG S4NAME 1 S4TSW 1NS S4RLO 10K S4RHI 60 PRINT V carry in TRAN 1N 100N END The associated digital input file is 1 00 09 10 11 20 30 39 40 41 pe E ea I pDmpbmPPmDDmpmPLbX9di HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Digital and Mixed Mode Stimuli 50 70 80 FORO U Element Digital Outputs Digital output not supported in HSPICE RF Syntax Uxxx interface reference mname SIGNAME sname Parameter Description U xxx Digital outpu
241. User Guide 321 Y 2006 03 Chapter 9 Transient Analysis Using the BIASCHK Statement 322 The following limitations apply to the BIASCHK statement BIASCHKiS only supported for diode jfet nmos pmos bjt and c models as well as subcircuits Fora device size check only W and L MOSFET models are supported Wildcards in element and model names and except definitions are supported but not in expressions Data Checking Methods Four methods are available to check the data with the BTASCHK command Limit and noise method Maximum method Minimum method Region method Note The region method of data checking is only supported in MOSFET models Limit and Noise Method For a transient simulation using the limit and noise method to check the data use the following syntax Forlocal max v tn 1 gt limit value The bias corresponds anyone of the following two conditions E v tn 1 gt v tn amp amp v tn 1 gt v tn 2 B y tn 1 gt v tn amp amp v tn 1 gt v tn 2 local min The minimum bias after the time last local max occurs During a transient analysis the 1ocal max is recorded if it is greater than the limit In the summary reported after transient analysis the local max current is replaced with the local_max next when the following comparison is true local max current local min noise amp amp local max next local min noise amp amp local max current lt loc
242. Voltage controlled voltage source Bal 2 34 K Current controlled current source Voltage controlled current source Current controlled voltage source Current source JFET or MESFET Linear mutual inductor general form Linear inductor MOS transistor Port Bipolar transistor Resistor Transmission line Voltage source HSPICE 8 Simulation and Analysis User Guide Y 2006 03 Fsub n1 n2 vin 2 0 G12 4 0 3 0 10 H3 4 5 Vout 2 0 IA 2 6 le 6 J1 7 2 3 GAASFET K1 L1 L2 1 LX a b le 9 M834 12 3 A N1 P1 in gnd port 1 z0 50 Q5 3 6 7 8 pnpl R10 21 10 1000 S1 ndi nd2 s_model2 vl 8 05 33 Chapter 3 Input Netlist and Data Entry Input Netlist File Guidelines 34 Table4 Element Identifiers Continued Letter First Element Example Line Char W Transmission Line W1 inl 0 out1 0 N 1 L 1 X S ubcircuit call X1 2 4 17 31 MULTI WN 100 LN 5 Hierarchy Paths A period indicates path hierarchy Paths can be up to 1024 characters long Path numbers compress the hierarchy for post processing and listing files You can find path number cross references in the listing and in the lt design gt pa0 file The OPTION PATHNUM controls whether the list files show full path names or path numbers Numbers You can enter numbers as integer floating point floating point with an integer exponent or integer or floating point with one of the scale factors listed in Table 5 Table 5 Scale Factors
243. XO CEXBC LX17 Base collector equivalent current CEXBC LX18 Base collector conductance GEQCBO not used in HSPICE releases after 95 3 CAP BE LX19 cbe capacitance Cx CAP IBC LX20 cbc internal base collector capacitance Cu CAP SCB LX21 csc substrate collector capacitance for vertical transistors csb substrate base capacitance for lateral transistors CAP XBC LX22 Cbcx external base collector capacitance CMCMO LX23 1 TF IBE vbc VSUB LX24 Substrate voltage Table 37 JFET J Element Name Alias Description AREA LV1 J FET area factor VDS LV2 Initial condition for drain source voltage VGS LV3 Initial condition for gate source voltage PIGD LV16 Photo current gate drain in J FET PIGS LV17 Photo current gate source in J FET HSPICE Simulation and Analysis User Guide 281 Y 2006 03 Chapter 7 Simulation Output Element Template Listings 282 Table 37 JFET J Element Continued Name Alias Description VGS LXO VGS VGD LX1 Gate drain voltage VGD CGSO LX2 Gate to source CGSO CDO LX3 Drain current CDO CGDO LX4 Gate to drain current CGDO GMO LX5 Transconductance GMO GDSO LX6 Drain source transconductance GDSO GGSO LX7 Gate source transconductance GGSO GGDO LX8 Gate drain transconductance GGDO QGS LX9 Gate source charge QGS CQGS LX10 Gate source charge current CQGS QGD LX11 Gate drain charge QGD CQGD LX12 Gate drain charge current CQGD CAP
244. a DC model characterization HSPICE only not supported in HSPICE RF The Dc statement format depends on the application that uses it HSPICE 8 Simulation and Analysis User Guide 295 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Other DC Analysis Statements Other DC Analysis Statements HSPICE or HSPICE RF also provides the following DC analysis statements Each statement uses the DC equivalent model of the circuit in its analysis For Pz the equivalent circuit includes capacitors and inductors Statement Description DCMATCH HSPICE A technique for computing the effects of local variations in device characteristics on the DC solution of a circuit PZ Performs pole zero analysis SENS HSPICE Obtains DC small signal sensitivities of output variables for circuit parameters TF Calculates DC small signal values for transfer functions ratio of output variable to input source HSPICE or HSPICE RF includes DC control options and DC initialization statements to model resistive parasitics and initialize nodes These statements enhance convergence properties and accuracy of simulation This section describes how to perform DC related small signal analysis DC Initialization Control Options 296 Use control options in a DC operating point analysis to control DC convergence properties and simulation algorithms Many of these options also affect transient analysis because DC convergence is an int
245. a power source realized as a voltage source with a series impedance In this case the source value is interpreted as RMS available power in units of Watts When 2 or dbm element treated as a power source in series with the port imedance Values are in dbms You can use this parameter for transient analysis if the power source is either DC or SIN Example For example the following port element specifications identify a 2 port network with 50 Ohm reference impedances between the in and out nodes P1 in gnd port 1 z0 50 P2 out gnd port 2 z0 50 Computing scattering parameters requires zo reference impedance values The order of the port parameters in the P Element determines the order of the S Y and Z parameters Unlike the NET command the LIN command does not require you to insert additional sources into the circuit To calculate the requested transfer parameters HSPICE automatically inserts these sources as needed atthe port terminals You can define an unlimited number of ports 128 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Independent Source Functions HSPICE or HSPICE RF uses the following types of independent source functions Trapezoidal pulse PULSE function Sinusoidal SIN function Exponential ExP function Piecewise linear PWL function Single frequency FM srFrM function m Single frequency AM AM functi
246. about the Kaaa core One winding element is required and each winding element must use the magnetic winding syntax All winding elements with the same magnetic core model should be written in one mutual inductor statement in the netlist mname Saturable core model name See the Passive Device Models chapter in the HSPICE Elements and Device Models Manual for more information MAG Initial magnetization of the saturable core You can set this to 1 0 or 1 where 1 refer to positive and negative values of the BS model parameter See the Passive Device Models chapter in the HSPICE Elements and Device Models Manual for more information magnetization In this syntax coupling is a unitless value from zero to one representing the coupling strength If you use parameter labels the nodes and model name must be first Other arguments can be in any order If you specify an inductor model see the Passive Device Models chapter in the HSPICE Elements and Device Models Manual the inductance value is optional You can determine the coupling coefficient based on geometric and spatial information To determine the final coupling inductance HSPICE or HSPICE RF divides the coupling coefficient by the square root of the product of the self inductances When using the mutual inductor element to calculate the coupling between more than two inductors HSPICE or HSPICE RF can automatically calculate an approximate second orde
247. acy Tolerances Accuracy Control Options Autoconverge Process DCON and GMINDC Reducing DC Errors 0 00 ce ae Shorted Element Nodes Inserting Conductance Using DCSTEP 0 000 ce eee eae Floating Point Overflow Diagnosing Convergence Problems Non Convergence Diagnostic Table Traceback of Non Convergence Sourc Solutions for Non Convergent Circuits Poor Initial Conditions Inappropriate Model Parameters Q axe iei Sod nno ree e ra DA Ya 271 272 272 274 274 275 287 287 288 291 291 291 292 293 294 294 295 295 296 296 297 299 300 302 304 306 306 307 307 308 309 310 310 311 Contents PN Junctions Diodes MOSFETS BJTS 000 eae euee Transient Analysis Simulation Flow Overview of Transient Analysis iilis Transient Analysis Output 0 0 0 0 ce Transient Analysis of an RC Network 0 cece cece eee eee Transient Analysis of an Inverter 0 0 0 cc cee ee ee eee ene Using the BIASCHK Statement 0 0 0 0 cece Data Checking Methods 0 cect Limitand Noise Method 0 0 cece RII Maximum Method ssaa RR RR Minimum Method 0 c cece RR R RR Region Method lssssssssssseeee een Transient Control Options lssssssssseee e eh Matrix Manipulation Options saaana nn Simulation Speed and Accuracy
248. ains G element syntax statements and their parameters LEVEL O is a Voltage Controlled Current Source VCCS LEVEL 1 Is a Voltage Controlled Resistor VCR LEVEL 2 is a Voltage Controlled Capacitor VC CAP Negative P iece Wise Linear NPWL LEVEL 3 is a VCCAP Positive Piece Wise Linear PPWL See also Using G and E Elements in the HSPICE Applications Manual Voltage Controlled Current Source VCCS Linear Gxxx n n VCCS in in transconductance lt MAX val gt MIN val SCALE val lt M val gt lt TCl val gt lt TC2 val gt lt ABS 1 gt lt IC val gt For a description of the G Element parameters see G Element Parameters on page 189 Polynomial POLY GXXX n n VCCS POLY NDIM inl inl lt inndim inndim gt MAX val lt MIN val gt SCALE val M val lt TCl val gt TC2 val ABS 1 PO P1 lt IC vals gt For a description of the G Element parameters see G Element Parameters on page 189 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage Dependent Current Sources G Elements Piecewise Linear PWL GXXX n n lt VCCS gt PWL 1 in in lt DELTA val gt lt SCALE val gt lt M val gt lt TCl val gt lt TC2 val gt xl yl x2 y2 x100 y100 lt IC val gt lt SMOOTH val gt Gxxx n n lt VCCS gt NPWL 1 in in lt DELTA val gt SCALE val lt M val gt lt TCl val gt lt TC2 val gt x
249. al Integrity Worst Case Analysis Circuit C ell Optimization HSPICE or HSPICE RF Cell Characterization Incremental Optimization AC DC Transient P hotocurrent Radiation E ffects HSPICE or HSPICE RF forms the cornerstone of a suite of Synopsys tools and services that allows accurate calibration of logic and circuit model libraries to actual silicon performance HSPICE 8 Simulation and Analysis User Guide 1 Y 2006 03 Chapter 1 Overview HSPICE Varieties The size ofthe circuits that HSPICE or HSPICE RF can simulate is limited only by memory As a 32 bit application HSPICE can address a maximum of 2Gb or 4G b of memory depending on your system For a description of commands that you can include in your HSPICE netlist see the Netlist Commands chapter in the HSPICE Command Heference HSPICE Varieties Synopsys HSPICE is available in two varieties m HSPICE HSPICE RF Like traditional SPICE simulators HSPICE is Fortran based but itis faster and has more capabilities than typical SPICE simulators HSPICE accurately simulates analyzes and optimizes circuits from DC to microwave frequencies that are greater than 100 GHz HSPICE is ideal for cell design and process modeling It is also the tool of choice for signal integrity and transmission line analysis HSPICE RF is a newer C version of the traditional Fortran based HSPICE Many but not all HSPICE simu
250. al max next HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Using the BIASCHK Statement At the end of the simulation all Local max values are listed as BIASCHK warnings During other analyses warnings are issued when the value you want to check is greater than the 1imit value you specify Maximum Method For a transient simulation using the maximum method to check the data use the following syntax For 1ocal max v tn 1 max value The bias corresponds any one ofthe following two conditions v tn 1 gt v tn amp amp v tn 1 gt v tn 2 v tn 1 gt v tn amp amp v tn 1 gt v tn 2 During a transient analysis all Local max values are listed as BIASCHK warnings During other analyses warnings are issued when the value you want to check is greater than max value you specify Minimum Method For a transient simulation using the minimum method to check the data use the following syntax Forlocal min v tn min value The bias corresponds any one ofthe following two conditions v tn 1 lt v tn amp amp v tn 1 lt v tn 2 v tn 1 lt v tn amp amp v tn 1 lt v tn 2 During a transient analysis all 1ocal min values are listed as BIASCHK warnings During other analyses warnings are issued when the value you want to check is smaller than min value you specify HSPICE 8 Simulation and Analysis User Guide 323 Y 2006 03 Chapter 9 Transien
251. alls an associated model statement N1 The MOSFET dimensions are width 100 microns and length 10 microns Example 2 M1 ADDR SIG1 GND SBS N1 wl w 1141 The preceding example specifies a MOSFET named M1 where m drain node ADDR gate node SIG1 source node GND substrate nodes sBs The preceding element statement calls an associated model statement N1 MOSFET dimensions are algebraic expressions width w1 w and length 11 1 HSPICE 8 Simulation and Analysis User Guide 43 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition 44 Defining Subcircuits You can create a subcircuit description for a commonly used circuit and include one or more references to the subcircuit in your netlist Use SUBCKT and MACRO statements to define subcircuits within your HSPICE netlist or HSPICE RF Use the ENDS statement to terminate a SUBCKT statement Use the EOM statement to terminate a MACRO statement Use X subcircuit name the subcircuit call statement to call a subcircuit that you previously defined ina MACRO Or SUBCKT command in your netlist where subcircuit name is the element name ofthe subcircuit that you are calling This subcircuit element name can be up to 15 characters long Usethe INCLUDE statementto include another netlistas a subcircuitin the current netlist Node Naming Conventions Nodes are the points of connection between elements in the input netlis
252. alue function 229 value parameter 196 ABSV option 298 ABSVAR option 328 AC analysis 243 output 260 RC network 346 resistance 345 small signals 344 sources 123 AC analysis measurement results file 16 AC analysis results file 16 AC choke inductors 87 AC statement 469 547 ac file 15 16 accuracy control options 299 simulation time 299 tolerance 297 298 327 ACCURATE option 328 ACM model parameter 329 acos x function 229 Index ACOUT option 262 263 adder circuit 507 demo 506 NAND gate binary 508 subcircuit 507 admittance AC input 265 AC output 265 Y parameters 260 AF model parameter 350 AGAUSS keyword 557 algebraic expressions 228 models 329 algorithm linear acceleration 500 numerical integration 333 algorithms Damped Pseudo Transient algorithm 307 DVDT 334 335 GEAR 330 integration 330 iteration count 334 Levenberg Marquardt 478 local truncation error 334 timestep control 333 334 335 trapezoidal integration 330 ALTER blocks 53 statement 54 55 249 AM source function 145 145 146 analog transition data file 15 analyses Monte Carlo 445 analysis AC 243 accuracy 297 299 data driven 545 DC 243 element template 243 617 Index Fourier 338 initialization 288 inverter 320 MEASURE statement 243 Monte Carlo 545 553 553 577 optimization 469 parametric 243 RC network 318 346 statistical 548 577 Taguchi 544 temperature 544 547 transient 243 316
253. ameter is assigned in the netlist Output Simulation Data Verilog A devices support the same output capabilities as built in devices You can access the following Verilog A device quantities via any of these HSPICE output statements PRINT PLOT PROBE GRAPH DOUT and so forth Port current Port voltage internal node voltage HSPICE only m Jnternal named branch current HSPICE only Internal module variables HSPICE only Module parameters HSPICE only 416 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices V and I Access Functions You can access port voltage and internal node voltage of Verilog A devices via the V function Port current and internal branch currents can be accessed via the I function The internal nodes of Verilog A devices are accessible via the V function when the full hierarchical name is provided The port current and named branches on the instance base only can be accessible via the I function Examples For the following examples assume the Verilog A module definition fragment is module va fnc plus minus inout plus minus electrical plus minus electrical intl int2 branch intl int2 bri creates an internal branch br1 between internal nodes intl and int2 RI analog begin And the Verilog A module may be instantiated in the netlist as xl 1 2 va fnc To print the
254. and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input Files HSPICE 8 Simulation and Analysis User Guide Y 2006 03 File Name Description t2n2222 sp characteristics of BJ T 2n2222 Device Optimization HSPICE only installdir demo hspice devopt beta sp LEVEL 2 beta optimization bjtopt sp s parameter optimization of a 2n6604 BJ T bjtoptl sp 2n2222 DC optimization bjtopt2 sp 2n2222 Hfe optimization d sp diode multiple temperatures dcoptl sp 1n3019 diode I V and C V optimization gaas sp J FET optimization jopt sp 300u 1u GaAs FET DC optimization jopt2 sp J FET optimization joptac sp 300u 1u GaAs FET 40 MHz 20 GHz s parameter optimization I3 sp MOS LEVEL 3 optimization I3a sp MOS LEVEL 3 optimization I28 sp LEVEL 28 optimization ml2opt sp MOS LEVEL 2 I V optimization ml3opt sp MOS LEVEL 3 l V optimization ml6opt sp MOS LEVEL 6 I V optimization ml13opt sp MOS LEVEL 13 I V optimization opt bjt sp 2n3947 forward and reverse Gummel optimization Fourier Analysis HSPICE only installdir demo hspice fft am sp FFT analysis AM source 533 Chapter 19 Running Demonstration Files Demonstration Input F iles File Name Description bart sp FFT analysis Bartlett window black sp FFT analysis Blackman window dist sp FFT analysis sec
255. and Analysis User Guide 93 Y 2006 03 Chapter 4 Elements Active Elements 94 Parameter Description IC vbeval Initial internal base emitter voltage vbeval and collector emitter vceval VBE voltage vceval HSPICE or HSPICE RF uses this value when VCE the TRAN statement includes UIC The IC statement overrides it M Multiplier to simulate multiple BJ Ts in parallel The M setting affects all currents capacitances and resistances Default 1 DTEMP The difference between the element temperature and the circuit temperature in degrees Celsius Default 0 0 AREAB Base area multiplying factor which affects currents resistances and capacitances DefaultZAREA AREAC Collector area multiplying factor which affects currents resistances and capacitances Default AREA The only required fields are the collector base and emitter nodes and the model name The nodes and model name must precede other fields in the netlist Example 1 In the Q1 BJT element below Q1 1 2 3 model 1 The collector connects to node 1 The base connects to node 2 The emitter connects to node 3 model 1 references the BJ T model Example 2 In the following Qopamp1 BJ T element Qopamp1 c1 b3 e2 s 1stagepnp AREA 1 5 AREAB 2 5 AREAC 3 0 The collector connects to the cl node The base connects to the b3 node The emitter connects to the e2 node The substrate connects to the s node stagepnp ref
256. annel MOS Transistors When you scale to higher speed technologies the area of the polysilicon gate decreases reducing the gate capacitance However if you scale the gate oxide thickness the capacitance per unit area increases which also increases the RC product Example The following example illustrates how scaling affects the delay For example for a device with Channel width 100 microns JChannellength 5 microns Gate oxide thickness 800 Angstroms The resulting RC product for the polysilicon gate is Rpoly 40 poly Hets py 100 _ 3 9 8 86 Rpoly 7 40 800 Co 100 5 215fF RC 138 ps 800 For a transistor with Channel width 100 microns Channel length 1 2 microns Gate oxide thickness 250 Angstroms The resulting RC product for the polysilicon gate is channel width channel length _ 3 9 8 86 i Tox Rpoly Co channel width channel length RC 546 ps You can use a nine stage ladder model to model the RC delay in CMOS devices 522 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Modeling Wide Channel MOS Transistors Figure 91 Nine stage Ladder Model Drain M1 M7 M3 M4 M5 M8 M9 luo r wnaf w 9 w 9 WIS wn f w 9 w 9 w 9 i w 9 rm Wile ey Eu RN Bulk Source In
257. ansient analysis An array of parameter values can be either inline in the simulation input file or stored as an external ASCII file The DATA statement associates a list of parameter names with corresponding values in the array HSPICE supports the entire functionality of the DATA statement However HSPICE RF supports DATA only for Data driven analysis inline or external data files For more details about using the DATA statement in different types of analysis see Chapter 8 Initializing DC Operating Point Analysis and Chapter 9 Transient Analysis HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition Library Calls and Definitions To create and read from libraries of commonly used commands device models subcircuit analysis and statements in library files use the LIB call statement As HSPICE or HSPICE RF encounters each LIB call name in the main data file it reads the corresponding entry from the designated library file until it finds an ENDL statement You can also place a LIB call statement in an ALTER block Library Building Rules A library cannotcontain ALTER statements A library can contain nested LIB calls to itself or to other libraries If you use a relative path in a nested LIB call the path starts from the directory of the parent library not from the work directory If the path starts from the work dir
258. ansient statement speeds up simulation and compresses the output graph Measurements include TURN ON from 90 of input rising to 90 of output falling OUTPUT FALL from 9096 to 10 of output falling TURN OFF from 10 of input falling to 10 of output rising OUTPUT RISE from 10 to 90 of output rising HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Modeling Wide Channel MOS Transistors Figure 90 T2N2222 Optimization FILE ASIC2 SP TEST OF I O STAGE LUMPED MOS MODEL APRIL 24 2003 15 52 09 RSI CS TRO El nARI LLVSINE i L PARLI ECVLLIMHP G PARAM LIN co S Luiibusaliaibusibinialurnlbruaalbunialiuadinalirn e vu zb ites eost e s sb wd E Eos b LOM g jogop doo 0P 600 0P TIME LIN T2N2222 Optimization Example Input File You can find the sample netlist for this example in the following directory installdir demo hspice ddl t2n2222 sp Modeling Wide Channel MOS Transistors If you select an appropriate model for I O cell transistors simulation accuracy improves For wide channel devices model the transistor as a group of transistors connected in parallel with appropriate RC delay networks If you model the device as only one transistor the polysilicon gate introduces delay HSPICE 8 Simulation and Analysis User Guide 521 Y 2006 03 Chapter 19 Running Demonstration Files Modeling Wide Ch
259. ansition expr td rise time fall time time tol The default discipline directive as described in LRM 2 1 section 11 1 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Unsupported Language Features In the following example the ports in and out must have their discipline explicitly declared include disciplines vams default discipline electrical Feature not supported module test in out in out default to electrical analog V out lt I in endmodule Access to HSPICE primitives from a Verilog A module as described in LRM 2 1 section E 2 module spice rc pl p2 electrical pl p2 capacitor c 3p C3 pl p2 Feature not supported resistor r 1k R1 pl p2 Feature not supported endmodule random type string feature 10 2 10 3 reg strings as described in Section 2 6 of LRM String parameters are supported only from other Verilog A modules but not from the HSPICE netlist level Smfactor xposition yposition angle hflip vflip functions paramset instantiation from HSPICE netlists is supported butinstantiation from hierarchical Verilog A modules is not supported Output variables and string parameters on paramsets Sport_connected function limit function The following are limitations in HSPICE RF Verilog A only e S strobe in DC analysis Ssimparam simulation parameter e final step 0 port module H
260. apter 4 Elements Active Elements Parameter Description M Multiplier to simulate multiple MOSFETs in parallel Affects all channel widths diode leakages capacitances and resistances Default 1 DTEMP The difference between the element temperature and the circuit temperature in degrees Celsius Default 0 0 GEO Source drain sharing selector fora MOSFET model parameter value of ACM 3 Default 0 0 DELVTO Zero bias threshold voltage shift Default 0 0 The only required fields are the drain gate and source nodes and the model name The nodes and model name must precede other fields in the netlist If you did not specify a label use the second syntax with the OPTION WL statement to exchange the width and length options Example In the following M1 MOSFET element M1 1 2 3 model 1 m The drain connects to node 1 The gate connects to node 2 The source connects to node 3 model 1 references the MOSFET model In the following Mopamp1 MOSFET element Mopampl d1 g3 s2 b 1stage L 2u W 10u The drain connects to the d1 node The gate connects to the g3 node The source connects to the s2 node stage references the MOSFET model The length of the gate is 2 microns The width of the gate is 10 microns In the following Mdrive MOSFET element Mdrive driver in output bsim3v3 W 3u L 0 25u DTEMP 4 0 HSPICE 8 Simulation and Analysis User Guide 99 Y 2006 03 Chapter 4 Elements Transmission Lin
261. apter 4 Elements Passive Elements 88 Reluctors Syntax Reluctance Inline Form Lxxx nip nin nNp nNn RELUCTANCE r1 cl vall r2 c2 val2 rm cm valm lt SHORTALL yes no gt lt IGNORE_COUPLING yes no gt Reluctance External File Form Lxxx nip nin nNp nNn RELUCTANCE FILE lt filenamel gt FILE lt filename2 gt lt SHORTALL yes no gt lt IGNORE_COUPLING yes no gt Parameter Description LXxx Name of a reluctor Must begin with L followed by up to 1023 alphanumeric characters nlp nin Names of the connecting terminal nodes The number of nNp nNn terminals must be even Each pair of ports represnets the location of an inductor RELUCTANCE Keyword to specify reluctance inverse inductance r1 c1 vall Reluctance matrix data In general K will be sparse and only r2 C2 val2 non zero values in the matrix need be given Each matrix entry rm cm valm is represented by a triplet r c val The value rand c are integers referring to a pair of inductors from the list of terminal nodes If there are 2 N terminal nodes there will be N inductors and the rand c values must be in the range 1 N The val value is a reluctance value for the r c matrix location and the unit for reluctance is the inverse Henry H1 Only terms along and above the diagonal are specified for the reluctance matrix The simulator fills in the lower triangle to ensure symmetry If you spec
262. ar Network Parameter Analysis NET Parameter Analysis Figure 57 Parameters with NET V 2 Isrc This NET statement provides an incorrect result for the Z parameter calculation NET V 2 Isrc When HSPICE or HSPICE RF runs AC analysis it shorts all DC voltage sources all DC current sources are open circuited As a result V 2 shorts to ground and its value is zero in AC analysis This affects the results of the network analysis In this example HSPICE or HSPICE 8 Simulation and Analysis User Guide 383 Y 2006 03 Chapter 11 Linear Network Parameter Analysis NET Parameter Analysis Figure 58 Network Parameter Configurations Z parameter NET V C IB Y parameter NET I Vc VBE e east l2 poem e a 2 C 4 C li l1 E T no Ne Oc v2 Gvce Bd v BEO v li H parameter NET I Vc IB S parameter NET V C VBE En a Ee ete l2 eS Sa a C 4 l2 li li p L M 1 E IN 1 TV2 vcE 4 pa V2 d l2 T V Ba V VBE 1 i les que dm om etu Example To calculate the H parameters HSPICE or HSPICE RF uses the NET statement NEP I Vc Ip VC denotes the voltage atthe C node which is the collector of the BJ T With this statement HSPICE or HSPICE RF uses the following equations to calculate H parameters immediately after AC analysis VI H11 I1 H12 V2 I2 H21 I1 H22 V2 To calcul
263. ariables of Verilog A instances Syntax Instance internal variable Example print xva vco freq This example outputs internal variable frequency value of Verilog A instance Xva vco Output Module Parameters HSPICE only You can use HSPICE output commands to output parameter values for Verilog A instances Syntax Instance parameter Example print xva 1 gain This example outputs the gain parameter value for the xva_1 Verilog A instance Case Sensitivity in Simulation Data Output When Verilog A information is output via the HSPICE output commands the case of the node names associated with the quantities to be output is ignored HSPICE 8 Simulation and Analysis User Guide 419 Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices Contributions from the Verilog A noise sources that have the same name when case is ignored are combined Example I d s lt white noise 4 k T R1 thermalnoise I d2 s2 lt white noise 4 k T R2 ThermalNoise The two noise contributions are combined into one contribution called thermalnoise in the output files Using Wildcards in Verilog A HSPICE only Verilog A names support the use of wildcards to simplify using the output commands Examples Given the Verilog A module module test p n electrical p n electrical intl int2 instantiated as x1 1 2 test then all of the internal nodes in this case int1 and int2 can be printed using the
264. ariation end variation This structure contains three parts m general section SSub block for global variations sub block for local variations General Section In the general section options can be defined that control how the information in the variation block is used Also parameters can be defined that apply to 434 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 14 Variation Block Variation Block Structure both sub blocks however these cannot contain any distribution related functions Control Options At the beginning of the variation block options can be specified one per line gnore variation block yes Previous methods of specifying variations on parameters and models are not compatible with the variation block By default the contents of the variation block are used and any other specification is ignored thus no changes are required in existing netlists other than adding the variation block If itis still desirable to run the previous style variations then the option ignore variation block can be used Ignore local variations yes and Ignore global variations yes For investigating the effects of global or local variations only Monte Carlo specific options see Chapter 15 Monte Carlo Analysis Some of these options are useful if a variation block is part of a model file that you cannot edit One option can be specified per line For example option Ignore local va
265. ariation Block Chapter 15 Monte Carlo Analysis Chapter 16 DC Mismatch Analysis Chapter 17 Optimization Chapter 18 RC Reduction Chapter 19 Running Demonstration Files Appendix A Statistical Analysis Appendix B Full Simulation Examples Describes DC initialization and operating point analysis Describes how to use transient analysis to compute the circuit solution Describes how to perform AC sweep and small signal analysis Describes how to perform an AC sweep to extract small signal linear network parameters Describes how to use Verilog A in HSPICE simulations Introduces variability describes how itis defined in HSPICE and introduces the variation block Describes the use model and structure of the variation block Describes Monte Carlo analysis in HSPICE Describes the use of DCmatch analysis Describes optimization in HSPICE for optimizing electrical yield Describes RC network reduction Contains examples of basic file construction techniques advanced features and simulation tricks Lists and describes several HSPICE and HSPICE RF input files Describes the features available in HSPICE for statistical analysis before the Y 2006 03 release Contains information and sample input netlists for two full simulation examples HSPICE amp Simulation and Analysis User Guide Y 2006 03 About This Manual The HSPICE Documentation Set Chapter Description Appendix C HSPICE GU
266. arlo analysis Thus DCmatch analysis provides an efficient technique for the approximate computation of the effects of variability on circuit DC solutions DCmatch Analysis In DCmatch analysis the combined effects of variations of all devices on a specified node voltage or branch current are determined The primary purpose is to consider the effects of local variations that is for devices in close proximity DCmatch analysis also allows for identifying groups of matched devices that is devices that should be implemented on the layout according to HSPICE 8 Simulation and Analysis User Guide 455 Y 2006 03 Chapter 16 DC Mismatch Analysis DCmatch Analysis special rules A secondary result is calculated as the influence of global variations which is useful for investigating whether their effects on circuit response are much smaller then the effects of local variations when optimizing a design DCmatch analysis is based on the following dependencies and assumptions variations in device characteristics are modeled through variations in the underlying model parameters Statistics of the model parameters exhibit Normal distributions m no correlation exists between the variations of different parameters of a single device or between the same parameter for different devices effects ona circuits DC solution are small therefore these variations also exhibit Normal distributions In HSPICE the variations in model para
267. as the first character of the line or a dollar sign directly in front of the comment anywhere on the line For example comment on a line by itself HSPICE statement comment following HSPICE input You can place comment statements anywhere in the circuit description HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition The dollar sign must be used for comments that do not begin in the first character position on a line for example for comments that follow simulator input on the same line If itis notthe first nonblank character then the dollar sign must be preceded by either Whitespace Comma Valid numeric expression You can also place the dollar sign within node or element names For example RF 1K GAIN SHOULD BE 100 MAY THE FORCE BE WITH MY CIRCUIT VIN 10 PL 0 0 5V 5NS 10v 50ns R12 10 1MEG FEED BACK PARAM a lwScomment a 1 w treated as a space and ignored PARAM a 1k comment a 1e3 k is a scale factor A dollar sign is the preferred way to indicate comments because of the flexibility of its placement within the code Line continuations require a plus sign as the first character in the line that follows Here is an example of comments and line continuation in a netlist file ABC Title Line HSPICE or HSPICE RF ignores the netlist keyword on this line because the first line is always a c
268. ate Hybrid parameters H11 and H21 the DC voltage source Vcg sets V2 to zero and the DC current source IB sets I1 to zero Setting I1 and V2 to zero precisely meets the conditions of the circuit under examination the input current source is open circuited and the output voltage source shorts to ground 384 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis NET Parameter Analysis A data file containing measured results can drive external DC biases applied to a BJ T Notall DC currents and voltages at input and output ports might be available When you run a network analysis examine the circuit and select Suitable input and output variables This helps you to obtain correctly calculated results The following example demonstrates HSPICE network analysis of a BJ T or HSPICE RF Network Analysis Example Bipolar Transistor This example is based on demonstration netlist net ana sp which is available in directory lt installdir gt demo hspice bjt BJT network analysis option post nopage list newtol reli 1e 5 absi 1e4 468e b3 j 5 li list TUT r n lvdc absi 1e4 HSPICE 8 Simulation and Analysis User Guide 385 Y 2006 03 Chapter 11 Linear Network Parameter Analysis NET Parameter Analysis 386 trci 4 020700e 03 trmi1 20 000000e 00 print ac par ib par ic hil m h12 m h21 m h22 m zil m z12 m z21 m z22 m s11 m s21 m s12 m s22 m
269. ate current GSUB LV7 Substrate conductance LOGIC LV8 LOG 10 IC LOGIB LV9 LOG 10 IB BETA LV10 BETA HSPICE 8 Simulation and Analysis User Guide 279 Y 2006 03 Chapter 7 Simulation Output Element Template Listings 280 Table 36 BJT Q Element Continued Name Alias Description LOGBETAI LV11 LOG 10 BETA current ICTOL LV12 Collector current tolerance IBTOL LV13 Base current tolerance RB LV14 Base resistance GRE LV15 Emitter conductance 1 RE GRC LV16 Collector conductance 1 RC PIBC LV18 Photo current base collector PIBE LV19 P hoto current base emitter VBE LXO VBE VBC LX1 Base collector voltage VBC CCO LX2 Collector current CCO CBO LX3 Base current CBO GPI LX4 gn ib vbe constant vbc GU LX5 gu lib tvbc constant vbe GM LX6 gi 7lic vbe lic vbe constant vce GO LX7 Qo tic vce constant vbe QBE LX8 Base emitter charge QBE CQBE LX9 Base emitter charge current CQBE QBC LX10 Base collector charge QBC CQBC LX11 Base collector charge current CQBC QCS LX12 Current substrate charge QCS HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Element Template Listings Table 368 BJT Q Element Continued Name Alias Description COCS LX13 Current substrate charge current CQCS QBX LX14 Base internal base charge QBX CQBX LX15 Base internal base charge current CQBX GXO LX16 1 R beff Internal conductance G
270. atement HSPICE 8 Simulation and Analysis User Guide 39 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition 40 TRAN 1n 300n Output control statements OPTION POST PROBE PROBE V VIN V VOUT END For a description of individual commands used in HSPICE or HSPICE RF netlists see the Netlist Commands chapter in the HSPICE Command Heference Title of Simulation You set the simulation title in the first line of the input file HSPICE or HSPICE RF always reads this line and uses itas the title of the simulation regardless of the line s contents The simulation prints the title verbatim in each section heading of the output listing file To set the title you can place a TITLE statement on the first line of the netlist However HSPICE or HSPICE RF does not require the TITLE syntax The first line of the input file is always the implicit title If any statement appears as the first line in a file simulation interprets itas a title and does not execute it An ALTER statement does not support use the TITLE statement To change a title fora ALTER statement place the title contentin the ALTER statement its elf Comments and Line Continuation The first line of a netlist is always a comment regardless of its first character comments that are notthe first line of the netlist require either an asterisk in HSPICE or a number sign 4 in HSPICE RF
271. atement use the ERR1 keyword to control the comparison If the calculated value is not within the error tolerances specified in the optimization model HSPICE selects a new set of component values HSPICE then simulates the circuit again and repeats this process until it obtains the closest fit to the curve or until the set of error tolerances is satisfied Goal Optimization Goal optimization differs from curve fit optimization because it usually optimizes only a particular electrical specification such as rise time or power dissipation To specify goal optimizations do the following 1 Usethe GOAL keyword 2 Inthe MEASURE statement select a relational operator where GOAL is the target electrical specification to measure For example you can choose a relational operator in multiple constraint optimizations when the absolute accuracy of some criteria is less important than for others Timing Analysis To analyze circuit timing violation HSPICE uses a binary search algorithm This algorithm generate a set of operational parameters which produce a failure in the required behavior of the circuit When a circuit timing failure HSPICE Simulation and Analysis User Guide 467 Y 2006 03 Chapter 17 Optimization Overview 468 occurs you can identify a timing constraint which can lead to a design guideline Typical types of timing constraint violations include Data setup time before a clock Data hold time after a
272. ates all values Applies values specified in NODESET and 1C statements Applies values stored in an initial conditions file The OPTION OFF statement and the OFF and IC va1 element parameters also control initialization HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Initialization and Analysis Initialization is fundamental to simulation HSPICE or HSPICE RF starts any analysis with known nodal voltages or initial estimates for unknown voltages and some branch currents It then iteratively finds the exact solution Initial estimates that are close to the exact solution increase the likelihood of a convergent solution and a lower simulation time A transient analysis first calculates a DC operating point using the DC equivalent model of the circuit unless you specify the UIC parameter in the TRAN statement HSPICE or HSPICE RF then uses the resulting DC operating point as an initial estimate to solve the next timepoint in the transient analysis Here s how this is done 1 Ifyou do not provide an initial guess or if you provide only partial information HSPICE or HSPICE RF provides a default estimate for each node in the circuit 2 HSPICE or HSPICE RF then uses this estimate to iteratively find the exact solution The NODESET and statements supply an initial guess for the exact DC solution of nodes within a circuit To set any circuit node to any valu
273. ates law is prohibited It is the reader s responsibility to determine the applicable regulations and to comply with them Disclaimer SYNOPSYS INC AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND EXPRESS OR IMPLIED WITH REGARD TO THIS MATERIAL INCLUDING BUT NOT LIMITED TO THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE Registered Trademarks Synopsys AMPS Arcadia C Level Design C2HDL C2V C2VHDL Cadabra Calaveras Algorithm CATS CRITIC CSim Design Compiler DesignPower DesignWare EPIC Formality HSIM HSPICE Hypermodel iN P hase in S ync Leda MAST Meta Meta S oftware ModelTools NanoS im OpenVera PathMill P hotolynx Physical Compiler PowerM ill PrimeTime RailMill RapidS cript Saber SiVL SNUG SolvNet Superlog System Compiler TetraMAX TimeMill TMA VCS Vera and Virtual Stepper are registered trademarks of S ynopsys Inc Trademarks Active Parasitics AFGen Apollo Apollo Il Apollo DP Il Apollo G A ApolloGAII Astro Astro Rail Astro Xtalk Aurora AvanTestchip AvanWaves BCView Behavioral Compiler BOA BRT Cedar ChipPlanner Circuit Analysis Columbia Columbia CE Comet 3D Cosmos CosmosEnterprise CosmosLE CosmosS cope CosmosSE Cyclelink Davinci DC Expert DC Professional DC Ultra DC Ultra Plus Design Advisor Design Analyzer Design Vision DesignerHDL DesignTime DFM Workbench Direct RTL Direct Silicon Access Discovery DW8051 DWPCI Dy
274. ation Therefore you should to review the individual measurement results for outliers and analyze them properly For example when plotting measurement results as a function of index in CosmosS cope the outliers are readily apparent HSPICE Simulation and Analysis User Guide 453 Y 2006 03 Chapter 15 Monte Carlo Analysis Application Considerations 454 HSPICE Simulation and Analysis User Guide Y 2006 03 16 DC Mismatch Analysis Describes the use of DCmatch analysis Mismatch Variations in materials and procedures are the source of differences in characteristics of identically designed devices on the same integrated circuit These are random time independent variations by nature and are collectively called mismatch Mismatch is one of the limiting factors in analog signal processing It affects more and more circuit types as device dimensions and signal swings are reduced Mismatch is a function of the geometry of the devices involved their spatial relationship distance and orientation and their environment Mismatch does not include the effects of global variations such as batch to batch or wafer to wafer variations nor the effects or device degradation For cases where the effects of local variation on DC response of a circuit are of interest a method called DC mismatch DC match analysis can be used DCmatch analysis is related to sensitivity and noise analyses and requires significantly less runtime than Monte C
275. ation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Known Limitations S hould be written as if analysis ac static begin do something end The same is true for the tran and noise analysis names HSPICE 8 Simulation and Analysis User Guide 429 Y 2006 03 Chapter 12 Using Verilog A Known Limitations 430 HSPICE Simulation and Analysis User Guide Y 2006 03 13 Simulating Variability Introduces variability describes how it can be defined in HSPICE and introduces the variation block Introduction As semiconductor technologies migrate to ever smaller geometries and they exhibit larger variations in device characteristics it becomes more important to simulate or predict the effects of these variations on circuit response Variations in device characteristics are expressed through variations on parameter values of the underlying device models Monte Carlo analysis is typically used to find the variation in circuit response as a result of the parameter variations DC mismatch analysis is an efficient method for simulating the effects of local variations on the DC response To get satisfactory answers from these analyses the target technology must have been characterized for variability and the characterization data properly converted to variation definitions on device model parameters How To Define Variability in HSPICE Three approaches are available to define variability in
276. ature of piecewise linear corners The defaultis 1 4 of the smallest distance between breakpoints The maximum is 1 2 of the smallest distance between breakpoints FXXX Element name of the current controlled current source Must begin with F followed by up to 1023 alphanumeric characters gain Current gain gatetype k AND NAND OR or NOR kis the number of inputs for the gate x and yare the piecewise linear variation of the output as a function of input In multi input gates only one input determines the output state Do not use the above keywords as node names IC Initial condition estimate of the controlling current s in amps If you do not specify IC the default 0 0 M Number of replications of the element in parallel MAX Maximum output current Default undefined sets no maximum HSPICE 8 Simulation and Analysis User Guide 181 Y 2006 03 Chapter 5 Sources and Stimuli Current Dependent Current Sources F Elements 182 Parameter Description MIN Minimum output current Default undefined sets no minimum n Connecting nodes for a positive or negative controlled source NDIM Number of polynomial dimensions If you do not specify POLY NDIM HSPICE orHSPICE RF assumes a one dimensional polynomial NDIM must be a positive number NPDELAY Number of data points to use in delay simulations The default value is the larger of either 10 or the smaller of TD tstep and tstop tstep That l min TD tstop 4 is
277. ault time unit value is ns Do not specify absolute unit values ina PARAM statement For example if in your netlist param myperiod 10ns ns makes this incorrect HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File And in your VEC file tunit ns period myperiod What you wanted for the time period is 10ns however because you specified absolute units le 8ns is the value used In this example the correct form is param myperiod 10 Second Group OUT Or OUTZ TRIZ In these statements the unitis ohms If you do notinclude an out or OUTZ statement the default is 0 If you do notinclude a TRIZ statement the default is 1000M The PARAM definition for this group follows the HSPICE syntax For example if in your netlist param myout 10 means 10 ohm param mytriz 10Meg means 10 000 000 ohm don t confuse Meg with M M means 0 001 And in your VEC file out myout triz mytriz Then HSPICE returns 10 ohm for out and 10 000 000 ohm for TRIZ Third Group VIH VIL VOH E VOL i VTH In these statements the unit is volts HSPICE 8 Simulation and Analysis User Guide 219 Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File fyou do not include an vrH statement the default is 3 3 If you do notinclude a VIL statement the default is 0 0 If you do not include a voH statement the
278. bbm sp five stage ring oscillator macromodel CMOS inverter ringb sp ring oscillator by using behavioral model sampling sp sampling a sine wave scr sp silicon controlled rectifier modeled using the PWL CCVS Swcap5 sp fifth order elliptic switched capacitor filter switch sp test for PWL switch element SWIC Sp switched capacitor RC circuit triode sp triode model family of curves by using behavioral elements triodex sp triode model family of curves by using behavioral elements tunnel sp modeling tunnel diode characteristic by using PWL VCCS vcob sp voltage controlled oscillator by using PWL functions Benchmarks installdir demo hspice bench bigmos1 sp large MOS simulation demo sp quick demo file to test installation m2bit sp 72 transistor two bit adder typical cell simulation m2bitf sp fast simulation example HSPICE 8 Simulation and Analysis User Guide 527 Chapter 19 Running Demonstration Files Demonstration Input F iles 528 File Name Description m2bitsw sp Fast simulation example Same as m2bitf sp but uses behavioral elements senseamp sp bipolar analog test case Timing Analysis installdir demo hspice bisect fig3a sp DFF bisection search for setup time fig3b sp DFF early optimum and late setup times inv a sp inverter bisection pass fail BJT and Diode Devices installdir demo hspice bjt bjtbeta sp plot BJ T beta bjtft sp plot B
279. between the two methods to work toward the solution again If the optimizer does not attain the optimal solution it prints both an error message and a large Marquardt Scaling Parameter value Number of Function Evaluations This is the number of analyses for example finite difference or central difference needed to find a minimum of the function Number of Iterations This is the number of iterations needed to find the optimized or actual solution Optimized Parameters OPTRC param rx 7 4823 55 6965 5 7945m param cx 133 9934m 44 3035 5 1872m HSPICE Simulation and Analysis User Guide 479 Y 2006 03 Chapter 17 Optimization Overview Figure 67 Power Dissipation and Time Constant VOLT RCOPT TRO Before Optimization RCOPT TR1 Optimized Result 998 587N 900 0N 800 0N 700 0N 600 0N 500 0N VOLT LIN 400 0N 300 0N 200 0N 100 0N 929 90U FILE RCOPT SP OPTIMIZE THE POWER DISSIPATION AND TIME CONSTANT APRIL 22 2004 5 38 12 N RCOPT TRO RCOPT TR1 f se 3 Eo E I E oar a a doa a 032 BAI d 3 0 200 0M 400 0M 600 0 800 0 1 0 TIME LIN 480 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview Figure 68 Power Dissipation and Time Constant WATT RCOPT TRO Before Optimization RCOPT TR1 Optimized Result MATT LIN FILE RCOPT SP OPTIMIZE THE POWER DISSIPATION AND TIME CONTSTANT APRIL 22 2004 5 38 12 RCOPTTRO
280. bit sequence Syntax Vxxx n n LFSR lt gt vlow vhigh tdelay trise tfall rate seed lt gt taps lt gt lt rout val gt lt gt Ixxx n n LFSR lt gt vlow vhigh tdelay trise tfall rate seed lt gt taps lt gt lt rout val gt lt gt Parameter Description LFSR Specifies the voltage or current source as PRBS vlow The minimum voltage or current level vhigh The maximum voltage or current level tdelay Specifies the initial time delay to the first transition trise Specifies the duration of the onset ramp in seconds from the initial value to the pulse plateau value reverse transit time tfall Specifies the duration of the recovery ramp in seconds from the pulse plateau back to the initial value forward transit time rate The bit rate seed The initial value loaded into the shift register HSPICE 8 Simulation and Analysis User Guide 153 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions 154 Parameter Description taps The bits used to generate feedback rout The output resistance Example 1 The following example shows the pattern source that is connected between node in and node gnd vin in gnd LFSR 0 1 im 1n 1n 10meg 1 5 2 rout 10 Where The output low voltage is 0 and the output high voltage is 1 v The delay time is 1 ms The rise and fall times are each 1 ns The bitrate is 10meg bits s The seed is 1 m The taps are 5 2
281. bout command line options see HSPICE Command Syntax in the HSPICE Command Reference HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 2 Setup and Simulation Running Multithreading HSPICE Simulations In Windows NT Explorer l Double click the hsp mt application icon 2 Select the File Simulate button to select the input netlist file In Windows the program automatically detects the number of available processors Under the Synopsys HSPICE User Interface HSPUI 1 Selectthe correct hsp mt exe version in the Version combo box 2 Selectthe correct number of CPUs in the MT option box 3 Click the Open button to select the input netlist file 4 Click the Simulate button to start the simulation Performance Improvement Estimations For HSPICE MT the CPU time is Tmt Tserial Tparallel Ncpu Toverhead Where Tserial represents HSPICE calculations that are not threaded Tparallel represents threaded HSPICE calculations Ncpu is the number of CPUs used Toverhead is the overhead from multithreading Typically this represents a small fraction of the total runtime For example for a 151 stage NAND ring oscillator using LEVEL 49 Tparallel is about 8096 of T1cpu the CPU time associated with a single CPU if you run with two threads on a multi CP U machine Ideally assuming Toverhead 0 you can achieve a speedup of HSPICE 8 Simulation and Analysis User Guide 25 Y 2006 03 Chapter 2 Setup and Si
282. ccess lisse esses Vendor libraries vs 4 uasna anaana Subcircuit Library Structure Elemernls 0 wx fb es eae eee es Passive Elements 0 0 ccc cece eee Values forElements 0 ccc eee eee Resistor Elements ina HSPICE or HSPICE RF Linear Resistors cc eee Netlist sss Behavioral Resistors in HSPICE or HSPICE RF Frequency Dependent Resistors Skin Effect Resistors 0000e Capacitors sts ivi ba etd eet habs bene Linear Capacitors 0 000 Frequency Dependent Capacitors Behavioral Capacitors in HSPICE or HSPICE RF DC Block Capacitors Charge Conserved Capacitors Inductor bre ct x E ees Mutual Inductors 0 000000 00 Ideal Transformer 0 00000 cae Linear Inductors 0 0c ee eee Frequency Dependent Inductors AC Choke Inductors 005 ReEIUCTOSS susc bv a ee etree ac un Active Elements Diode Elementi 2 29 Lib et bee bees Bipolar J unction Transistor BJ T Element JFETsand MESFETs 00000 ee MOSFETS say 5 3 bs Bad de eee tose aha Transmission Lines ccc cee ees W Element xs cus Rex EPA W Element Statement Lossless T Element 0000 eee ee Ideal Transmission Line Lossy U Element esses Frequency Dependent Multi Terminal S Element 005 Frequency Table Model
283. ccurs in inductive circuits such as switching regulators use the GEAR algorithm If transient analysis fails to converge using OPTION METHOD TRAP and DVDT timesteps for example due to trapezoidal oscillation and HSPICE reports an internal timestep too small error HSPICE then starts the autoconvergence process by default This process sets OPTION METHOD GEAR and LVLTIM 2 and uses the Local Truncation Error LTE timestep algorithm HSPICE then runs another transient analysis to automatically obtain convergent results HSPICE 8 Simulation and Analysis User Guide 331 Y 2006 03 Chapter 9 Transient Analysis Numerical Integration Algorithm Controls 332 To manually improve on autoconvergence results or if autoconvergence fails to converge you can do either of the following Set OPTION METHOD GEAR in the netlist and try to obtain convergent results directly To improve accuracy or speed you can adjust TSTEP ina TRAN Statement or in transient control options such as RMAX RELQ CHGTOL Or TRTOL Set OPTON METHOD TRAP in the netlist then manually adjust TSTEP and the relevant control options such as CSHUNT Or GSHUNT Figure 47 Iteration Algorithm Initial Guess Element Evaluation gt I V Q Flux Linearization of non linear elements ABSI RELI Element Convergence Test ABSMOS RELMOS METHOD Gear or Trapezoidal MAX
284. ce if you omit a current limiting resistor HSPICE 8 Simulation and Analysis User Guide 313 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Diagnosing Convergence P roblems 314 HSPICE Simulation and Analysis User Guide Y 2006 03 9 Transient Analysis Describes how to use transient analysis to compute the circuit solution Transient analysis computes the circuit solution as a function of time over a time range specified in the TRAN statement For descriptions of individual HSPICE commands referenced in this chapter see the HSPICE Command Reference Simulation Flow Figure 42 illustrates the simulation flow for transient analysis in Synopsys HSPICE and HSPICE RF HSPICE Simulation and Analysis User Guide 315 Y 2006 03 Chapter 9 Transient Analysis Overview of Transient Analysis Figure 42 Transient Analysis Simulation Flow Simulation Experiment y Y Y Y UIC FOUR FFT Time sweep simulation OPTION HSPICE only Method Tolerance Limit BYPASS ABSV x RELQ x AUTOSTOP IMAX x CSHUNT ABSVAR x RELTOL BKPSIZ IMIN x DVDT ACCURATE RELV x DVTR x ITL3 x GSHUNT BYTOL x RELVAR x FS x ITL4 x LVLTIM x CHGTOL x SLOPETOL x FT x ITL5 x MAXORD x DELMAX x TIMERES GMIN x RMAX x RUNLVL x MS US VNTOL VFLOOR Overview of Transient Analysis 316 Transie
285. ce and minimum capacitance values to preserve and to limit the operating parameters of the PACT algorithm Table 56 contains a summary of HSPICE 8 Simulation and Analysis User Guide 501 Y 2006 03 Chapter 18 RC Reduction Linear Acceleration Control Options Summary these control options For their syntax and descriptions see the respective section in the HSPICE Command Reference Table 56 PACT Options Syntax Description OPTION SIM LA PACT PI OPTION LA FREQ value OPTION LA MAXR value OPTION LA MINC value OPTION LA TIME value OPTION LA_TOL lt value gt Activates linear matrix reduction and selects between two methods For HSPICE RF if you set the entire netlist to ANALOG mode linear matrix reduction does not occur Upper frequency where you need accuracy preserved value is the upper frequency for which the PACT algorithm preserves accuracy If value is 0 PACT drops all capacitors because only DC is of interest The maximum frequency required for accurate reduction depends on both the technology of the circuit and the time scale of interest In general the faster the circuit the higher the maximum frequency The default is 1GHz Maximum resistance for linear matrix reduction value is the maximum resistance preserved in the reduction SIM_LA assumes that any resistor greater than value has an infinite resistance and drops the resistor after reduction finishes The default is 1e15 o
286. ck ck ck ck k ck k k kk kk After you create the server it automatically runs in the background If the server does not receive any request from a client for 12 hours the server releases the license and exits automatically 26 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 2 Setup and Simulation Using HSPICE in Client Server Mode Client The client can send a requestto the server to ask whether an HSPICE license has been checked out or to kill the server If the request is to check the license status the server checks whether an HSPICE license has been checked out and replies to the client The syntax of this request is hspice C casename sp Where casename is the name of the circuit design to simulate If the client receives ok it begins to simulate the circuit design If the client receives no it exits f the server receives several requests at the same time it queues these requests and process them in the order that the server received them f HSPICE does not find a server it creates a server first Then the server checks out an HSPICE license and simulates the circuit fthe request is to kill the server the server releases the HSPICE license and other sources and exits When you kill the server any simulation cases that are queued on that server do not run and the server s name disappears from the hidden hspicecc directory in your home directory If you do not spec
287. clock Minimum pulse width required to allow a signal to propagate to the output Maximum toggle frequency of the component s Bisection Optimization finds the value of an input variable target value associated with a goal value for an output variable To relate them you can use various types of input and output variables such as voltage current delay time or gain and a transfer function You can use the bisection feature in either a pass fail mode or a bisection mode In each case the process is largely the same Optimization Statements Optimization requires several statements MODEL modname OPT PARAM parameter OPTxxx init min max Use PARAM statements to define initial lower and upper bounds A DC AC Or TRAN analysis statement with MODEL modname OPTIMIZE OPTxxx RESULTS measurename Use the PRINT PLOT and GRAPH output statements with the DC AC Or TRAN analysis statements HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview Only use an analysis statement with the OPTIMIZE keyword for optimization To generate output for the optimized circuit specify another analysis statement DC AC or TRAN and the output statements MEASURE measurename GOAL val Include a space on either side of the relational operator For a description of the types of MEASURE statements that you can use in optimization see Chapter 7
288. command print v x1 All indices of a bus in the module module my bus in out electrical in electrical 1 4 out Can be specified as x1 1 2 3 4 5 my bus print v x1 out print v x1 420 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Using the Stand alone Compiler Both of the internal nodes inti and int2 for the child ch1 in the instance x parl can be specified using print v x parl chl int The HSPICE oPTION POST command does not output internal nodes from Verilog A modules Use the wildcard feature to specify a Verilog A instance if you need to output all internal nodes Port Probing and Branch Current Reporting Conventions When printing and reporting currents for Verilog A devices HSPICE follows the same conventions when specifying the direction of current flow as in built in devices A positive branch current implies that current is flowing into the device terminal or internal branch Unsupported Output Function Features The following output functions are not supported in this release Portprobing In where n is the node number Instead you can use I instance port name in module Iall Instead you can output all the terminal currents using a wild card tIsub This is not applicable to Verilog A components P and Power Instead you can use the strobe Verilog A function Nodal capacitance Group delay Using the Stand
289. cremental optimization l HSPICE first optimizes the forward Gummel data points 2 HSPICE updates forward optimized parameters into the model After updating you cannot change these parameters 3 HSPICE nextoptimizes the reverse Gummel data points HSPICE 8 Simulation and Analysis User Guide Y 2006 03 487 Chapter 17 Optimization Overview BJT Model DC Optimization Input Netlist File You can find the sample netlist for this example in the following directory installdir demo hspice devopt opt bjt sp Figure 72 BJT Optimization Forward Gummel Plots AMP 2 LOW FILE OPT BJ T SP BJT OPTIMIZATION T2N9547 APRIL 22 2004 17 42 41 wo OPT BJT SVO 3 i ae 210 a _ PARIIB Pal noi PARIIC a Soo de db See dU ee dx th a Ota 4 ded X ee tie Th L 400 0M 500 0M 600 0M 700 0M 800 0M BASEF LIN 820 0M 488 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview Figure 73 BJT Optimization Reverse Gummel Plots AMP 2LCV 100 0P FILE OPT_BJ T SP BJ T OPTIMIZATION T2N9547 200 0M 300 0M 400 0M 500 0M BASER LIN 600 0M 700 0M 800 0M HSPICE Simulation and Analysis User Guide Y 2006 03 Optimizing GaAsFET Model DC This example circuit is a high performance GaAsFET transistor The design target is to match HP4145 DC measured data to the HSPICE LEVEL 3 J FET model The HSPICE strategy is MEASURE IDSERR S an
290. cuit cross listing HSPICE only not pas supported in HSPICE RF Transient analysis measurement results mt Transient analysis results from P OST tri Statement a canbe either a sweep number or a hardcopy file number For ac dp dm ic st sw and tr files is from O through 9999 b Requires a GRAPH statement or a pointer to a file in the meta cfg file The PC version of HSPICE does not generate this file AC Analysis Results File HSPICE writes AC analysis results to file lt output_file gt ac where is 0 9999 according to your specifications following the AC statement These results list the output variables as a function of frequency AC Analysis Measurement Reults File HSPICE writes AC analysis measurement results to file lt output_file gt ma when the input file includes a MEASURE AC statement HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 2 Setup and Simulation Standard Output Files DC Analysis Results File HSPICE writes DC analysis results to file output file swit where is 0 9999 when the input file includes a DC statement This file contains the results of the applied stepped or swept DC parameters defined in that Statement The results can include noise distortion or network analysis DC Analysis Measurement Results File HSPICE writes DC analysis measurement results to file lt output_file gt ms when the input file includes a MEASURE DC state
291. cuit topology Convergence strategies that HSPICE uses on difficult circuits You can use the information in this file to diagnose problems particularly when communicating with Synopsys Customer Support Output Tables The DCMATCH output tables file lt output_file gt dm contains the variability data from analysis Subcircuit Cross Listing File If the input netlist includes subcircuits HSPICE automatically generates a subcircuit cross listing file lt output_file gt pa where is 0 9999 This file relates the subcircuit node names in the subcircuit call to the node names used in the corresponding subcircuit definitions In HSPICE RF you cannot replicate output commands within subcircuit subckt definitions Transient Analysis Measurement Results File HSPICE writes transient analysis measurement results to file lt output_file gt mt when the input file includes an MEASURE TRAN statement Transient Analysis Results File Both HSPICE and HSPICE RF place the results of transient analysis in file lt output_file gt tr where is 0 9999 as set forth in the n command line argument This file lists the numerical results of transient analysis HSPICE 8 Simulation and Analysis User Guide 19 Y 2006 03 Chapter 2 Setup and Simulation Running HSPICE Simulations A TRAN statement in the input file together with an OPTION POST statement creates this post analysis file If the input file includes an OPTION
292. current on Verilog A device port name plus for the instance x1 print I x1 plus The plus is the port name defined in Verilog A module not the netlist node name To print the Verilog A module internal node named intl for the instance x1 print V xl int1 If the va nc module is hierarchical and has a child instance called c1 with an internal node intl then the node intl can be output as print V x1 c1 int1 Thatis the full HSPICE instance name is concatenated with the full internal Verilog A instance name to form the complete name HSPICE 8 Simulation and Analysis User Guide 417 Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices During compilation of Verilog A modules the compiler optimizes some internal branches out of the system such thatthese branches are not available for output HSPICE Verilog A provides a compilation environment variable HSP VACOMP OPTIONS with B option being set all internal named branches in Verilog A modules become accessible However making all internal branches accessible may have negative impact on simulation performance turn on the option only when necessary Refer to section Setting Environment option for HSPICE Verilog A Compiler for examples of setting HSP VACOMP OPTIONS After HSP VACOMP OPTIONS B is set you can probe branch current with HSPICE output commands In the previous Verilog A module there is an internal branch name br1 declared To probe the branch
293. current output for an elementin a subcircuit append a dot and the subcircuit name to the element name For example I3 X1 Wwww lall Wwww An alias just for diode BJ T J FET and MOSFET devices f Wwww is a diode it is equivalent to 11 Wwww I2 W www f Wwww is one of the other device types itis equivalent to IL Wwww I2 W www I3 W www I4 W www Example 1 T1 R1 This example specifies the current through the first R1 resistor node Example 2 14 X1 M1 This example specifies the current through the fourth node the substrate node of the M1 MOSFET defined in the X1 subcircuit Example 3 I2 Q1 The last example specifies the current through the second node the base node of the Q1 bipolar transistor To define each branch circuit use a single element statement When HSPICE or HSPICE RF evaluates branch currents it inserts a zero volt power supply in series with branch elements If HSPICE cannot interpreta PRINT Or PLOT statement that contains a branch current it generates a warning HSPICE 8 Simulation and Analysis User Guide 253 Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters Branch current direction for the elements in Figure 29 through Figure 34 is defined in terms of arrow notation current direction and node position number terminal type Figure 29 Resistor node1 node2 11 R1 node1 R1 12 R1 node2 Figure 30 Inductor node1 n
294. currents Unexpected results may incur HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Specifying User Defined Analysis MEASURE Average RMS MIN MAX INTEG and PP Average AVG RMS MIN MAX and peak to peak PP measurement modes report statistical functions of the output variable rather than analysis values AVG calculates the area under an output variable divided by the periods of interest RMS divides the square root of the area under the output variable square by the period of interest MiNreports the minimum value ofthe output function over the specified interval e MAX reports the maximum value of the output function over the specified interval e PP peak to peak reports the maximum value minus the minimum value over the specified interval AVG RMS and INTEG have no meaning in a DC data sweep so if you use them HSPICE or HSPICE RF issues a warning message INTEGRAL Function The INTEGRAL function reports the integral of an output variable over a specified period DERIVATIVE Function The DERIVATIVE function provides the derivative of An output variable ata specified time or frequency m Any sweep variable depending on the type of analysis A specified output variable when some specific event occurs In the HSPICE RF example below the SLEW measurement provides the slope of V OUT during the first time when V 1 is 9096 of VDD MEAS
295. cy d phase gd w 26 Where m gd Group delay at the ffrequency 2xf w m phase phase response at the f frequency w radians frequency All complex S Y Z and H parameters support a group delay calculation Syntax Xmn T Xmn TD X m n T X m n TD X S Y Z or H parameters m n port number 1 or 2 for H parameters The results of the group delay calculation are scalar real numbers in units of seconds For LIN group delay values are a function of frequency The calculation is ze If rz Ire Differentiating the complex logarithm with respect to omega results in Tarp d dmi a ri dw Ir dw dw HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis RF Measurements From LIN The group delay is the negative derivative of the phase Simulation can compute it from the imaginary component of the derivative w r t frequency of the measurement dr w 4 sem e w rij dw RF Measurements From LIN In addition to S Y Z and H parameters a LIN analysis can include the output measurements in the following sections Impedance Characterizations VSWR i Voltage standing wave ratio at port i real unit less ZIN i Input impedance at port i complex Ohms YIN i Input admittance at port i Complex Siemens Stability Measurements K_STABILITY_FACTOR R ollett stability factor real unit less MU_STABILITY_F
296. d Monte Carlo AC Transient Figure 3 Synopsys HSPICE Modeling Technologies 40 Industrial and SPICE Academic Models BJT Magnetics Common Model Interface Lossy Transmission Lines Device Models IBIS Mixed Signal Diode JFET GaAsFET Tunnel Diode 4 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 1 Overview HSPICE Features for Running Higher Level Simulations HSPICE Features for Running Higher Level Simulations Simulations atthe integrated circuit level and atthe system level require careful planning of the organization and interaction between transistor models and Subcircuits Methods that worked for small circuits might have too many limitations when applied to higher level simulations You can use the following HSPICE or HSPICE RF features to organize how simulation circuits and models run Explicit include files INCLUDE statement m mplicitinclude files OPTION SEARCH lib directory SPICE only Algebraics and parameters for devices and models PARAM statement Parameter library files LIB statement Automatic model selector LMIN LMAX WMIN and wMAX model parameters Parameter sweep sweep analysis statements Statistical analysis sweep monte analysis statements HSPICE only Multiple alternative ALTER statement HSPICE only Automatic measurements
297. d how it relates to the results from the DCmatch analysis In the simple example considered in this discussion variability is modeled as threshold dependence only in the DC match definition block VTHO dmvp0 It is assumed that this vrHO change maps directly to a VGS change In the characterization of the test structures the differences in VGS for a transistor pair ata certain current are collected and then for example the one sigma of a large number of pairs is calculated as 1mV The value for dmvpo has to be defined as 1mV sqrt 2 2 0 707mV because two devices are involved After simulating such a pair under the same conditions as for the characterization HSPICE reports a contribution of 0 707mV from each device and a total variation of sqrt 0 7072 0 7072 mV 2 1mV between the two devices This is the same value as the original sigma from the test structure For this flow to work properly itis crucial to know that transistor pairs were measured characterization results represent one sigma HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 16 DC Mismatch Analysis DCmatch Analysis DCmatch parameter value was adjusted for a single transistor Simulation results represent one sigma Of course other scenarios are possible it is just importantto connect these pieces properly to achieve correct results Example An example netlist for running DC match analysis using a classic 7 transistor CMOS ope
298. dd gnd Subckt XGATE control in n control out m0 in n control out vdd pmos 1 21 2u w 3 4u ml in control out gnd nmos 1 1 2u w 3 4u ends subckt INV in out wp 9 6u wn 4u 1 1 2u HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Transient Control Options 1 1 w wn l 1 w wp mb2 out in gnd gnd nmos mbi out in vdd vdd pmos ends Subckt DFF c d nc nq Xi64 nc net46 c net36 XGATE Xi66 nc net38 c net39 XGATE Xi65 c nq nc net36 XGATE X162 c d nc net39 XGATE X160 net722 nq INV Xi61 net46 net38 INV X159 net36 net722 INV X158 net39 net46 INV C20 net36 gnd cz17f c15 net39 c12 net46 c4 nq gnd c3 net722 c16 net38 gnd c 15f gnd cz25f c 25f gnd c 19f gnd c 16f ends Main Circuit Netlist v14 vdd gnd dc 5 c10 vdd gnd c 35f c15 d output gnd c 21f c12 dff nq gnd c 11f c11 net31 gnd c 42f C14 net27 gnd c 34f C13 net25 gnd c 41f c8 clock gnd c 5f c7 data gnd cz7f Xi3 net25 net31 net27 dff nq DFF l1 1u wn 3 8u wp 10u Xi6 data net31 INV Xi5 net25 net27 INV Xi4 clock net25 INV Xi2 dff nq d output INV wp 26 4u wn 10 6u print v clock v net25 Op end Transient Control Options Method tolerance and limit options in this section modify the behavior of transient analysis integration routines Delta is the internal timestep TSTEP and TSTOP are the step and stop values in the TRAN statement Asterisk denotes an option only available in HSPICE RF not
299. default is 2 64 If you do not include an voL statement the default is 0 66 If you do not include an vTH statement the default is 1 65 Digital Vector File Example specifies of bits associated with each vector radix 1 2 444 ETN RAGA ES HOO RR ROR RY POCO ORO OON Teo a IO RLM SA OUR e ce aiuto UG GARR CN ey age Peat defines name for each vector For multi bit vectors innermost provide the bit index range MSB LSB vname vl va 1 0 vb 12 1 actual signal names v1 va 0 va 1 vbl vb2 vb12 X RK SROKCK RIK KK UC BRK BERK IRR KERR BKK KON LER RAK RETR OK KORR BRK KK KON KOC KCN defines vector as input output or bi directional io i o bbb defines time unit tunit ns GIORNO Caper RE E KURIER UR CORO OCC RAS GS VUE Ege EDU e Meo e kon aco oco oe eoe vb12 vb5 are output when vl is high enable v1 0 0 FFO vb4 vb1 are output when vl is low enable v1 0 0 OOF EREEREER KIRKER KERRE ER KEE A KR ERRE ERREK KON RO OK E OK OK OR OK OR BKK OK ORO KR IKK ERK all signals have a delay of 1 ns Note do not put the unit such as ns here again HSPICE multiplies this value by the specified tunit tdelay 1 0 val and va0 signals have 1 5ns delays tdelay 1 5 03 000 eK CK CkCkCk ckCckckck kCk ck ck kCck ck Ck ck KkCk Ck Ck KkCk ck Ck Ck Ck ok ok ok ok ck k ko ck ck ck ck ck ck ck ko ck k ck kk k kk kkk k n Pi Specify input rise fall times if you want different
300. definitions A module must be loaded before it can be instantiated Verilog modules are loaded into HSPICE in one of two ways by including an HDL statement in an HSPICE netlist by using the hd1 command line option not supported in HSPICE RF Files can be in the current directory or specified via an absolute or relative path The Verilog A file is assumed to have a va extension when only a prefix is provided For example hdl model looks for a model va file and nota file named model HSPICE 8 Simulation and Analysis User Guide 407 Y 2006 03 Chapter 12 Using Verilog A Loading Verilog A Devices 408 Use the vamodel command line option to specify cell names for Verilog A definitions not supported in HSPICE RF For a description of the n 1 statement see the HDL command in the HSPICE Command Reference For a description of the hd1 and vamodel command line options see HSPICE Command Syntax in the HSPICE Command Reference Verilog A File Search Path During a simulation HSPICE searches in the current directory for Verilog A files You can also provide a search path via either the hdlpath command line option not supported in HSPICE RF or the HSP_HDL_PATH environment variable to have HSPICE search other directories for the files The hdlpath HSPICE command line option is provided for HSPICE Verilog A use only which defines the search path specifically for Verilog A files For a description of the hd
301. des key reference information for using HSPICE Reference Guide including syntax and descriptions for commands options parameters elements and more HSPICE Device Models Provides key reference information for using HSPICE Quick Reference Guide device models including passive devices diodes J FET and MESFET devices and BJ T devices Searching Across the HSPICE Documentation Set Synopsys includes an index with your HSPICE documentation that lets you search the entire HSPICE documentation set for a particular topic or keyword In a single operation you can instantly generate a list of hits that are hyperlinked to the occurrences of your search term For information on how to perform searches across multiple PDF documents see the HSPICE Release Notes available on SolvNet at http solvnet synopsys com R eleaseNotes or the Adobe Reader online help Note To use this feature the HSPICE documentation files the Index directory and the index pdx file must reside in the same directory This is the default installation for Synopsys documentation Also Adobe Acrobat must be invoked as a standalone application rather than as a plug in to your web browser Other Related Publications xxvi For additional information about HSPICE see The HSPICE Release Notes available on SolvNet see Known Limitations and Resolved STARs below Documentation on the Web which provides PDF documents and is available through SolvNet at ht
302. des the performance of a compiled solution without the need for user intervention The first time a Verilog A source file is loaded or after a Verilog A source file is modified the system automatically invokes the compiler The Compiled Model Library CML is automatically cached and subsequent simulations use this cached file and bypass the compilation process Although for the most part you do not need to be concerned with the cache mechanism you can control some aspects You can change the cache location prevent caching or delete the cache HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A The Compiled Model Library Cache Cache Location By default the cache directory is located in your HOME directory under the hidden directory hsp model cache This directory holds a directory structure that indicates compiler version platform and model directory Example Given the load command hdl users finn modules amp va the compiler generates a CML file in users finn hsp model cache 1 30 users finn modules lib arch amp cml Where arch is one of hpux sun linux and so on The location of the cache can be changed from the default value by setting the environment variable HSP CML CACHE to an appropriate location Example The following sets the environment variable HSP CML CACHE so thatthe model cache is created under the my local cache directory setenv HSP CML CACHE users finn
303. described below For descriptions of the other manuals in the HSPICE documentation set see the next section The HSPICE Documentation S et Chapter Description Chapter 1 Overview Chapter 2 Setup and Simulation Chapter 3 Input Netlist and Data Entry Chapter 4 Elements Chapter 5 Sources and Stimuli Chapter 6 Parameters and Functions Chapter 7 Simulation Output HSPICE amp Simulation and Analysis User Guide Describes HSPICE features and the simulation process Describes the environmentvariables standard I O files invocation commands and simulation modes Describes the input netlist file and methods of entering data Describes the syntax for the basic elements of a circuit netlist in HSPICE or HSPICE RF Describes element and model statements for independent sources dependent sources analog to digital elements and digital to analog elements Describes how to use parameters within an HSPICE netlist Describes how to use output format statements and variables to display steady state frequency and time domain simulation results xxiii About This Manual Inside This Manual xxiv Chapter Description Chapter 8 Initializing DC Operating Point Analysis Chapter 9 Transient Analysis Chapter 10 AC Sweep and Small Signal Analysis Chapter 11 Linear Network Parameter Analysis Chapter 12 Using Verilog A Chapter 13 Simulating Variability Chapter 14 V
304. designed to maximize accuracy without significantly degrading performance For a description of these options and their settings see Simulation Speed and Accuracy on page 327 ABSH DCON RELH ABSI DCTRAN RELI ABSMOS DI RELMOS HSPICE amp Simulation and Analysis User Guide 299 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Accuracy and Convergence 300 ABSVDC GMAX RELV CONVERGE GMINDC RELVDC Autoconverge Process If a circuit does not converge in the number of iterations that 1TL1 specifies HSPICE or HSPICE RF initiates an auto convergence process This process manipulates DCON GRAMP and GMINDC and even CONVERGE in some cases Figure 37 on page 301 shows the autoconverge process Note HSPICE uses autoconvergence in transient analysis but it also uses autoconvergence in DC analysis if the Newton R aphson N R method fails to converge HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Accuracy and Convergence Figure 37 Autoconvergence Process Flow Diagram 1 STEP 1 Iterate Iterates up to the ITL1 limit Y Converged Results N STEP 2 Sets DCON 1 Try DCON 1 If DV 1000 sets DV from 1000 to max 0 1 Vmax 50 Sets GRAMP Imax GMINDC Ramps GMINDC from GMINDC 10 R4 P to 16 12 Converged Results STEP 3 L Sets DCON 2 Relaxes DV to 1e6 Sets GRAMP Imax GMINDC Ramps GMINDC from GM
305. dexi i If a particular result looks interesting for example if the simulation 68 monte carlo index 68 produces the smallest delay then you can obtain the Monte Carlo parameters for that simulation monte carlo index 68 MONTE CARLO PARAMETER DEFINITIONS polycd xl 1 6245E 07 nactcd xwn 3 4997E 08 pactcd xwp 3 6255E 08 toxcd tox E 191 0 vtoncd delvton 2 2821E 02 delvtop 4 1776E 02 vtopcd rshncd rshn 45 16 rshpcd rshp 166 2 m delay 1 7929E 10 targ 3 4539E 10 trig 1 6610E 10 m power 6 6384E 03 from 0 0000E 00 to 1 0000E 09 In the preceding listing the m_delay value of 1 79e 10 seconds is the fastest pair delay You can also examine the Monte Carlo parameters that produced this result HSPICE Simulation and Analysis User Guide 573 Y 2006 03 Appendix A Statistical Analysis Worst Case and Monte Carlo Sweep Example The information on shortest delay and so forth is also available from the Statistics section at the end of the output listing While this information is useful to determine whether the circuit meets specification itis often desirable to understand the relationship of the parameters to circuit performance Plotting the results against the Monte Carlo index number does not help for this purpose You need to generate plots that display a Monte Carlo result as a function of a parameter For example Figure 108 shows the inverter pair delay to channel as a function of poly width wh
306. different tests to decide whether to reverse the timestep depending on how you set the DvDT control option For DVDT 0 1 2 or 3 the decision is based on the SLOPETOL control option ForDVDT 4 the decision is based on how you set the SLOPETOL RELVAR and ABSVAR control options The DvDT algorithm calculates the internal timestep based on the rate of nodal voltage changes For circuits with rapidly changing nodal voltages the DvpT algorithm uses a small timestep For circuits with slowly changing nodal voltages the DvDT algorithm uses larger timesteps The DVDT 4 setting selects a timestep control algorithm for non linear node voltages If you set the LvLTIM option to either 1 or 3 then DvpT 4 also uses timestep reversals To measure non linear node voltages HSPICE or HSPICE HSPICE 8 Simulation and Analysis User Guide 335 Y 2006 03 Chapter 9 Transient Analysis Selecting Timestep Control Algorithms 336 RF measures changes in slopes ofthe voltages If the change in slope is larger than the SLOPETOL control setting simulation reduces the timestep by the factor set in the FT control option The FT option defaults to 0 25 HSPICE orHSPICE RF sets the SLOPETOL value to 0 75 for LVLTIM 1 and to 0 50 for LVLTIM 3 Reducing the value of SLOPETOL increases simulation accuracy but also increases simulation time ForLvLTIM 1 SLOPETOL and FT control simulation accuracy ForLvLTIM 3 the RELVAR and ABSVAR
307. differential circuits LIN generates a set of noise parameters The analysis assumes a noise model consisting of m JAshuntcurrent noise source called In at the input of a noiseless two port linear network A series voltage noise source called Vn at the input of a noiseless two port linear network A source with impedance called Zs that drives this two port network The two port network drives a noiseless load called Zl Equivalent Input Noise Voltage and Current For each analysis frequency HSPICE computes a noise equivalent circuit fora linear two port The noise equivalent circuit calculation results in an equivalent noise voltage and current and their correlation coefficient yN2 Equivalent input noise voltage squared Real V2 IN2 Equivalent input noise current squared Real A2 RHON Correlation coefficient between the input noise voltage and the input noise current complex unitless HSPICE 8 Simulation and Analysis User Guide 361 Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis 362 Equivalent Noise Resistance and Conductance These measurements are the equivalent resistance and conductance which generate the equivalent noise voltage and current values at a temperature of T 290K in a 1Hz bandwidth RN Noise equivalent resistance Real Ohms cw Noise equivalent conductance Real Siemens Noise Correlation Impedance and Admittance These measurements
308. ds within subcircuit subckt definitions Figure 9 Subcircuit Representation J MN MP INV The following input creates an instance named X1 of the INV cell macro which consists of two MOSFETs named MN and MP X1 IN OUT VD_LOCAL VS_LOCAL inv W 20 MACRO INV IN OUT VDD VSS W 10 L 1 DJUNC 0 MP OUT IN VDD VDD PCH W W L L DTEMP DJUNC MN OUT IN VSS VSS NCH W W 2 L L DTEMP DJUNC EOM Note To access the name of the MOSFET inside of the INV subcircuit that x1 calls the names are x1 MP and x1 MN So to print the current that flows through the MOSFETs use PRINTI X1 MP HSPICE 8 Simulation and Analysis User Guide 57 Y 2006 03 Chapter 3 Input Netlist and Data Entry Using Subcircuits 58 Hierarchical Parameters You can use two hierarchical parameters the M multiply parameter and the s scale parameter M Multiply Parameter The most basic HSPICE subcircuit parameter or HSPICE RF is the M multiply parameter This keyword is common to all elements including subcircuits except for voltage sources The M parameter multiplies the internal component values which in effect creates parallel copies of the element or subcircuit To simulate 32 output buffers switching simultaneously you need to place only one subcircuit for example X1 in out buffer M 32 Multiply works hierarchically For a subcircuit within a subcircuit HSPICE or HSPICE RF multip
309. duces a large calculation result which can cause the non convergence errors To solve this increase the value of the absolute accuracy options Use the diagnostic table with the DC iteration limit TTL 1 option to find the sources of non convergence When you increase or decrease I TL1 HSPICE or HSPICE RF prints output for the problem nodes and elements for a new iteration that is the last iteration of the analysis that you set in ITL1 Solutions for Non Convergent Circuits Non convergent circuits generally result from Poor Initial Conditions Inappropriate Model Parameters PN Junctions Diodes MOSFETs BJ Ts The following sections explain these conditions Poor Initial Conditions Multi stable circuits need state information to guide the DC solution You must initialize ring oscillators and flip flops These multi stable circuits can either produce an intermediate forbidden state or cause a DC convergence problem To initialize a circuit use the Ic statement which forces a node to the requested voltage Ring oscillators usually require you to set only one stage Figure 40 Ring Oscillator C V 1 5V x Q Q 6 y 6 The best way to set up the flip flop is to use an IC statement in the subcircuit definition 310 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Diagnosing Convergence P roblems Example The following exa
310. e m Whatis the percentage of devices which meet the specification s the design centered with respect to the specification Closely related is the aspect of over design This is when the circuit characteristics are within specification with a wide margin which could be at the expense of area or power and ultimately cost HSPICE Simulation and Analysis User Guide 445 Y 2006 03 Chapter 15 Monte Carlo Analysis Overview 446 A typical design process is iterative first for finding a solution which meets the nominal specification and then moving on to a solution that meets yield and economic constraints including the effects of variations in device characteristics In this optimization process it helps to understand the relationship of the design parameters to the circuit response and the relationships of the different types of circuit response This information is available after running Monte Carlo analysis and can best be presented by Pairs Plots This is a matrix of two dimensional plots for investigating pair wise relationships and exploring the data interactively HSPICE does not produce such plots but makes the necessary data available from Monte Carlo simulation Figure 63 shows an example of a Pairs Plot from a simple resistive divider Figure 63 Pairs Plot example Monte Carlo analysis is computationally expensive therefore other types of analysis have been created that produce certain results more efficiently
311. e 1 0 V in lt out_value Input node used as output is not prevented V in will be assigned to out_value end endmodule The defparam statement as described in LRM 2 1 section 7 2 1 For example module rce nl n2 electrical n1 n2 my res rl n1 n2 my cap cl n1 n2 endmodule module my res nl1 n2 electrical n1 n2 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Unsupported Language Features parameter dev temp 27 parameter res 50 parameter tcr 1 analog V n1 n2 lt I n1 n2 res tcr temperature dev temp endmodule module my cap nl1 n2 electrical n1 n2 parameter dev temp 25 parameter cap 1 parameter tcc 1 analog I n1 n2 lt cap ddt V n1 n2 tcc S temperature dev temp endmodule defparam statement not supported module annotate defparam rc ri dev temp 30 rc ci dev temp 25 endmodule Ordered parameter lists in hierarchical instantiation as described in LRM 2 1 section 7 2 2 For example module module a out out2 electrical out out2 parameter real valuel 10 0 parameter real value2 20 0 analog begin V out lt valuel V out2 lt value2 end endmodule module test param by order out out2 electrical out out2 parameter real valuel 1 0 parameter real value2 2 0 Ordered parameter lists are not supported module a 1 2 A1 out out2 instead use module a
312. e but not the POWER variable in DC transient analysis Example PRINT TRAN P M1 P VIN P CLOAD POWER PRINT TRAN P Q1 P DIO P J10 POWER PRINT TRAN POWER Total transient analysis power dissipation PLOT DC POWER P IIN P RLOAD P R1 PLOT DC POWER P V1 P RLOAD P VS PRINT TRAN P Xf1 P Xf1 Xh1 Diode Power Dissipation Pd Vpp Ido Icap Vp n Ido Parameter Description Pd Power dissipated in the diode Ido DC component of the diode current Icap Capacitive component of the diode current Vp n Voltage across the junction Vpp Voltage across the series resistance RS HSPICE 8 Simulation and Analysis User Guide 257 Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters 258 BJT Power Dissipation Vertical Pd Vc e Ico Vb e Ibo Vcc Ictot Vee letot Vsc Iso Vcc Istot Lateral Pd Vc e Ico Vb e Ibo Vcc Ictot Vbb Ibtot Vee Ietot Vsb Iso Vbb Istot Parameter Description Ibo DC component of the base current Ico DC component of the collector current Iso DC component of the substrate current Pd Power dissipated in a BJ T Ibtot Total base current excluding the substrate current Ictot Total collector current excluding the substrate current letot Total emitter current Istot Total substrate current Vb e Voltage across the base emitter junction V bb Voltage across the series base r
313. e use the NODESET statement 4 HSPICE orHSPICE RF then connects a voltage source equivalent to each initialized node a current source with a GMAX parallel conductance set with a OPTION statement 5 HSPICE or HSPICE RF nextcalculates a DC operating point with the NODESET voltage source equivalent connected 6 HSPICE or HSPICE RF disconnects the equivalent voltage sources which you set in the NODESET statement and recalculates the DC operating point This is the DC operating point solution HSPICE 8 Simulation and Analysis User Guide 289 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Initialization and Analysis 290 Figure 36 Equivalent Voltage Source NODESET and IC p To Initialization I2 GMAX V D GMAX Node The rC statement provides both an initial guess and a solution for selected nodes within the circuit Nodes that you initialize with the Ic statement become part of the solution of the DC operating point You can also use the OFF option to initialize active devices The OFF option works with rc and NODESET voltages as follows I If the netlist includes any IC or NODESET statements HSPICE or HSPICE RF sets node voltages according to those statements If you setthe orr option then HSPICE or HSPICE RF sets values to zero for the terminal voltages of all active devices BJ Ts diodes MOSFETs J FETs MESFETs thatare notsetin IC or NODESET statements
314. e 08 7 487e 10 0 450 0 1 276e 07 1 082e 09 0 460 0 1 884e 07 1 564e 09 0 470 0 2 793e 07 2 278e 09 0 480 0 4 130e 07 3 318e 09 0 490 0 6 102e 07 4 836e 09 0 50 0 9 040e 07 7 083e 09 0 510 0 1 331e 06 1 033e 08 0 520 0 1 967e 06 1 514e 08 0 530 0 2 899e 06 2 219e 08 0 540 0 4 298e 06 3 261e 08 0 550 0 6 346e 06 4 786e 08 0 560 0 9 379e 06 7 036e 08 0 570 0 1 382e 05 1 034e 07 0 580 0 2 048e 05 1 522e 07 0 590 0 3 022e 05 2 236e 07 HSPICE Simulation and Analysis User Guide Y 2006 03 6 6 491 Chapter 17 Optimization Overview 492 te ct GG B GB RF c teeter GG tc46 xk kk ok ets CC Cc ccc cccccccocoooooooococcocc 0 60 0 4 463e 05 3 288e 07 610 0 6 586e 05 4 834e 07 620 0 9 735e 05 7 119e 07 630 0 1 430e 04 1 043e 06 640 0 2 105e 04 1 529e 06 650 0 3 104e 04 2 250e 06 660 0 4 564e 04 3 298e 06 670 0 6 681e 04 4 819e 06 680 0 9 806e 04 7 058e 06 690 0 1 429e 03 1 028e 05 70 0 2 075e 03 1 492e 05 710 0 2 984e 03 2 151e 05 720 0 4 250e 03 3 070e 05 730 0 5 971e 03 4 353e 05 740 0 8 297e 03 6 089e 05 750 0 1 127e 02 8 364e 05 760 0 1 493e 02 1 126e 04 770 0 1 918e 02 1 504e 04 780 0 2 378e 02 1 984e 04 790 0 2 864e 02 2 587e 04 80 0 3 383e 02 3 345e 04 810 0 3 929e 02 4 270e 04 820 0 4 504e 02 5 386e 04 enddata dat vbc e t e eeteeeteere tte oOoo0o0o0o 0o0o0o 0o0o0o0o0o0 O 0 end
315. e Summer An ideal voltage summer specifies the source voltage as a function of three controlling voltage s m V 13 0 V 15 0 V 17 0 To describe a voltage source the voltage summer uses this value V 13 0 V 15 0 V 17 0 This example represents an ideal voltage summer It initializes the three controlling voltages fora DC operating point analysis to 1 5 2 0 and 17 25 V EX 17 0 POLY 3 130 15 017 00 11 1 IC 1 5 2 0 17 25 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements Polynomial Function A voltage controlled source can also output a non linear function using a one dimensional polynomial This example does not specify the POLY parameter so HSPICE or HSPICE RF assumes itis a one dimensional polynomial that is a function of one controlling voltage The equation corresponds to the element syntax Behavioral equations replace this older method V 3 4 10 5 2 1 V 21 17 1 75 V 21 17 E2 3 4 POLY 21 17 10 5 2 1 1 75 E2 3 4 VOLT 10 5 2 1 V 21 17 1 75 V 21 17 E2 3 4 POLY 21 17 10 5 2 1 1 75 Zero Delay Inverter Gate Use a piecewise linear transfer function to build a simple inverter with no delay Einv out 0 PWL 1 in O 7v 5v i1v Ov Ideal Transformer If the turn ratio is 10 to 1 the voltage relationship is V out V in 10 Etrans out 0 TRANSFORMER in 0 10 You can also substitute LEVEL
316. e analysis considers only ports 1 and 2 The noise equivalent circuit calculation results in an equivalent noise voltage and current and their correlation coefficient These values are VN2 iv IN2 li A RHON p gt hs 2 lil lv Equivalent Noise Resistance and Conductance RN GN These measurements are the equivalent resistance and conductance that would generate the equivalent noise voltage and current values at a temperature of 7 290k in a 1 Hz bandwidth that is af 1H d dil ART Af 2 PRs GN G 7 ART Af HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis RF Measurements From LIN Noise Correlation Impedance and Admittance ZCOR YCOR These measurements represent the equivalent impedance and admittance that you can insert at the input of the noise equivalent circuit to account for the correlation between the equivalent noise voltage and the current values 2 Iv i y ZCOR Ph ES EA T Reor IX cop lil lil p li jy YCOR 2233 2G jB n 2 2 Iv lvl ZOPT YOPT GAMMA OPT Optimum Matching for Noise The equivalent input noise sources and their correlation make it possible to compute the impedance admittance and reflection coefficient values that if presented at the input of the noisy two port result in the best noise performance These values are R G z eye cH ay y l dup opt cor J co
317. e bound by this license agreement HSPICE is a trademark of Synopsys Inc Input File example sp lc lic FLEX1m v5 12 USER hspiceuser HOSTNAME hspiceserv HOSTID 8086420f PID 1459 lic Using FLEXIm license file lic afs rtp product distrib bin license license dat lic Checkout hspice Encryption code AC34CE559E01F6E05809 lic License Maintenance for hspice will expire on 14 apr 1999 1999 200 590 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using AvanWaves lic 1 in use 10 FLOATING license s on SERVER hspiceserv lic KKKKKK example hspice netlist using a linear cmos amplifier kckckok netlist options option post probe brief nomod defined parameters Opening plot unit 15 file example pa0 HSPICE 98 4 981215 13 58 43 01 08 1999 solaris example hspice netlist using a linear cmos amplifier transient analysis tnom 25 000 temp 25 000 xxx parameter analog voltage 1 000E 00 node voltage node voltage node voltage 0 clamp 2 6200 O in 0 O infilt 2 6200 0 inviout 2 6200 O inv2out 2 6199 0 vdd 5 0000 Opening plot unit 15 file example tr0 warning negative mos conductance 1 mnmos iter 2 vds vgs vbs 2 45 2 93 0 gm gds gmbs ids 3 636E 05 1 744E 04 0 1 598E 04 KKKKKK example hspice netlist using a linear cmos amplifier transient analys
318. e convergence problems If your simulation fails try adding a large resistor between node and ground HSPICE 8 Simulation and Analysis User Guide 307 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Diagnosing Convergence P roblems 308 Non Convergence Diagnostic Table If a circuit cannot converge HSPICE or HSPICE RF automatically generates two printouts called the diagnostic tables Nodal voltage printout Prints the names of all no convergent node voltages and the associated voltage error tolerances tol Element printout Lists all non convergent elements and their associated element currents element voltages model parameters and current error tolerances tol To locate the branch current or nodal voltage that causes non convergence use the following steps 1 Analyze the diagnostic tables Look for unusually large values of branch currents nodal voltages or tolerances 2 After you locate the cause use the NODESET or IC statements to initialize the node or branch If circuit simulation does not converge HSPICE or HSPICE RF automatically generates a non convergence diagnostic table indicating The quantity of recorded voltage failures The quantity of recorded branch element failures Any node in a circuit can create voltage failures including hidden nodes such as extra nodes that parasitic resistors create 3 Checkthe element printout for the subcircuit model and ele
319. e for information about individual commands file bjtdiff spbjt diff amp with every analysis type HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using CosmosS cope options acct node list opts nomod post param rbi1x aunif 20k 1k 30k rb2x aunif 20k 1k 30k tf v 5 vin dc vin 0 20 0 20 0 01 sweep monte 3 ac dec 10 100k 10meghz noise v 4 vin 20 net v 5 vin rout 10k pz v 5 vin disto rci 20 9 1m 1 0 sens v 4 tran 5ns 200ns four 5meg v 5 v 15 temp 55 150 meas qa propdly trig v 1 val 0 09 rise 1 targ v 5 val 6 8 rise 1 meas qa magnitude max v 5 meas qa rmspower rms power meas qa avgv5 avg v 5 meas ac qa bandwidth trig at 100k targ vdb 5 val 36 fall 1 meas ac qa phase find vp 5 when vm 5 52 12 meas ac qa freq when vm 5 52 12 print dc v 4 v 5 v 14 v 15 probe dc v 5 v 15 print ac vm 5 vp 5 vm 15 vp 15 probe ac vm 5 vp 5 vm 15 vp 15 print ac vt 5 vt 15 probe noise onoise m inoise m print ac zil1 m z12 m z22 m zin m probe ac z11 p z12 p z22 p zin p probe disto hd2 hd3 sim2 dim2 dim3 print tran v 4 v 5 v 14 v 15 print tran p vcc p vee p vin power probe tran v 5 v 15 vin 1 0 sin 0 0 1 5meg ac 1 vec 8 0 12 vee 9 0 12 ql 4 2 6 qnl q11 14 12 16 qpl q2 5 3 6 qnl q21 15 13 16 qpl rsl 1 2 1k rsi1 1 12 1k rs2 3 0 1k rs12
320. e for the mixedmode2port keyword depends on the port configuration Example 1 pl p2 single Where Available ss Default ss Example 2 pl p2 balanced Where Available dd cd dc cc Default dd Example 3 pl balanced p2 single Where Available ds cs Default ds Example 4 pl single p2 balanced Where Available sd sc Default sd 378 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis Extracting Mixed Mode Scattering S Parameters Output File Formats An sc file format for the mixed mode The S element model has additional keywords such as mixedmodei and idatatype if the netlist includes one or more balanced ports The mixedmode2port keyword prints in the header line m The other S Element keywords also appear in the header lines Touchstone format for the mixed mode The following lines for data mapping are added to the head of the Touchstone output file if the netlist includes one or more balanced ports S11 SDD11 S 12 SDD12 13 SDC11 S14 SDC12 Two Port Parameter Measurement Two port parameter measurement function takes the first 2 ports then reads the corresponding parameter with the drive condition specified by the mixedmode2port keyword Output Format and Description File Type Description ac Output from both the PROBE and PRINT statements printac X Output from the PRINT statement This is a
321. e frequency modulated response SFFM Keyword for a single frequency frequency modulated time varying source vo Output voltage or current offset in volts or amps va Output voltage or current amplitude in volts or amps fc Carrier frequency in Hz Default 1 TSTOP mdi Modulation index which determines the magnitude of deviation from the carrier frequency Values normally lie between 1 and 10 Default 0 0 fs Signal frequency in Hz Default 1 TSTOP The following expression defines the waveform shape sourcevalue vo va SIN 2 1 fce Time mdi SIN 2 1 fs Time Example This example is based on demonstration netlist sffm sp which is available in directory lt installdir gt demo hspice sources file sffm spfrequency modulation source options post vsff1 15 0 de 3v sffm Ov 1v 20k 10 5k rssf115 01 tran 001ms 5ms probe tran v 15 end This example shows an entire netlist which contains a single frequency frequency modulated voltage source In this source The offset voltage is O volts The maximum voltage is 1 millivolt HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions The carrier frequency is 20 kHz The signalis 5 kHz with a modulation index of 10 the maximum wavelength is roughly 10 times as long as the minimum Figure 20 Single Frequency FM Source Single Frequency AM Source HSPICE or HSPICE RF
322. e measures the delay and the power consumption of two inverters Additional inverters buffer the input and load the output This netlist contains commands for two sets of transient analysis parameter sweep from 3 to 3 sigma and a Monte Carlo analysis It creates one set of HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Worst Case and Monte Carlo Sweep Example output files mtO and trO for the sigma sweep and one set mt1 and tr1 for Monte Carlo inv sp sweep mosfet 3 sigma to 3 sigma use measure output param vref 2 5 sigma 0 global 1 vcc 10 5 0 vin in 0 pwl 0 0 0 2n 5 xl in 2 inv x2 2 3 inv x3 3 out inv x4 out 4 inv macro inv in out mn out in 0 0 nch w 10u l 1u mp out in 1 1 pch w 10u 1 1u eom param multi 1 polycd agauss 0 0 06u 1 xl polycd sigma 0 06u nactcd agauss 0 0 3u 1 xwn nactcd sigma 0 3u pactcd agauss 0 0 3u 1 xwp pactcd sigma 0 3u toxcd agauss 200 10 1 tox toxcd sigma 10 vtoncd agauss 0 0 05v 1 delvton vtoncd sigma 0 05 vtopcd agauss 0 0 05v 1 delvtop vtoncd sigma 0 05 rshncd agauss 50 8 1 rshn rshncd sigma s rshpcd agauss 150 20 1 rshp rshpcd sigma 20 level 28 example model model nch nmos level 28 Imlt mult1 wmlt multl1 wref 22u lref 4 4u xl xl xw xwn tox tox delvto delvton rsh rshn model pch pmos level 28 lmltzmulti1 wmltzmulti1 wref 22u lref 4 4u xl xl xw xwp tox tox delvto delvto
323. e minimum noise figure thatis r Top while the output is matched for the maximum gain 2 2 Saif CO 7 Eoo Gas 5 3 511 opd 1 s 22 PS PW Bl In the preceding equation s 55 DO Op S11 Opt 376 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis Extracting Mixed Mode Scattering S Parameters Extracting Mixed Mode Scattering S Parameters In HSPICE RF the LIN analysis includes a keyword for extracting mixed mode scattering S parameters Syntax LIN mixedmode2port dd dc ds cd cc cs sd sc ss The following keywords in a PRINT and PROBE statements specifies the elements in the mixed mode S parameter matrices S v z xy nm t Argument Description X y One of the following D differential C common S single ended For example SCC common mode S parameters SDC orSCD cross mode S parameters If you omit x y then HSPICE uses the value set for the mixedmode2port S cc Common mode S parameters S lt gand Sge Mode conversion or cross mode S parameters m n port number type One of the following DB magnitude in decibels I imaginary part M magnitude default P phase in degree R real part HSPICE 8 Simulation and Analysis User Guide 377 Y 2006 03 Chapter 11 Linear Network Parameter Analysis Extracting Mixed Mode Scattering S Parameters Defaults Availability and default valu
324. e name 47 GUI using 611 Gxxx element parameters 190 H H Elements applications 158 controlling voltage 197 data points 197 element multiplier 197 element name 196 gate type 196 initial conditions 196 maximum current 196 minimum current 196 syntax statements 195 time delay keyword 197 transresistance 197 H parameters 384 hardcopy graph data file 17 HD2 distortion 266 HD3 distortion 266 hertz variable 233 hierarchical designs flattened 37 HSPICE input netlist 611 613 installation directory 61 starting 20 version 95 3 compatibility 336 hspice command 20 hspice I command 23 hspice ini file 61 hspicerf command 22 hspui cfg 613 hybrid H parameters 260 hybrid parameter calculations 359 626 l IBIS buffers 118 ic file 16 290 IC parameter 190 196 292 293 IC statement 288 290 293 from SAVE 295 ic file 18 ideal current sources 305 delay elements 157 158 328 op amp 157 172 176 transformer 157 172 177 IDELAY statement 216 imaginary part of AC voltage 262 263 impedance AC 265 Z parameters 260 include files 14 INCLUDE statement 36 53 62 63 independent sources AC 120 123 AM function 145 current 120 278 data driven PWL function 142 DC 120 123 elements 120 EXP function 136 functions 129 mixed types 124 PULSE function 129 PWL function 139 SFFM function 143 SIN function 133 transient 120 124 types 129 voltage 120 278 See also sources individual element temperature 547 inductor fr
325. e next topmost signal A plot opens similar the one shown in Figure 119 on page 607 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using CosmosS cope Figure 119 Transient Analysis Plot of v 4 v 5 and ITPOWERD power ao An Graph M CTPOWRD t 01200 TTP W Dipower v We 0 12074 a Te t wp E Ea uni n SU do 5 0 12064 E eio cs F i 5 y 0 1205 4 a d E 1 E um o DADA e Fa i s e E Es T n a af oz Pa x NC Ds k ra Bi gazz N A M a F 0 1201 AS F amp ra 1 F4 i s 2 U 124 M p Waar n 3138 Mq SE EE a v a 25n An Fan 1n 125n 150n 175n 701 275n tad Viewing HSPICE AC Analysis Waveforms To view HSPICE AC analysis waveforms do the following 1 From the Signal Manager dialog box select bjtdiff 1 and click on Close P lotfiles All transient plots waveforms close 2 Inthe Signal Manager click on Open Plotfiles 3 Inthe Open Plotfiles dialog box in the Files of Type fields select the HSPICE AC ac item 4 Click on bjtdiff acO in the menu and click Open The bjtdiff Plot File windows open 5 Hold down the Ctrl key and select the dim2 mag and dim3 mag signals HSPICE 8 Simulation and Analysis User Guide Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using CosmosS cope 6 Click on Plo
326. e phase shift value at each frequency point To activate or deactivate this delay handler specify the DELAYHANDLE keyword in the S model statement The delay matrix is a constant matrix which HSPICE RF extracts using finite difference calculation at selected target frequency points HSPICE RF obtains the foli i delay matrix component as E pee ee o1 J do 2n df fis the target frequency which you can set using DELAYFREQ val The default target frequency is the maximum frequency point Oc ij is the phase of Sij After time domain analysis obtains the group delay matrix the following equation eliminates the delay amount from the frequency domain system transfer function joT Y mn o Ymn o The convolution process then uses the following equation to calculate the delay mn T ket katy Y k2 ty Yk ty and Acque Nt Tu HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Transmission Lines Preconditioning S Parameters Certain S parameters such as series inductor 2 port show a singularity when converting S to Y parameters To avoid this singularity the S element preconditions S matrices by adding KR es series resistance S kl 2 K S 2 I kr Refis the reference impedance vector kis the preconditioning factor To compensate for this modification the S element adds a negative resistor KR ref to the modified nodal analysis NMA matrix in actual circui
327. e source and into the n node HSPICE 8 Simulation and Analysis User Guide 119 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Elements 120 You can use parameters as values in independent sources Do not use any of the following reserved keywords to identify these parameters AC ACI AM DC EXP PAT PE PL PU PULSE PWL R RD SFFM Or SIN Independent Source Element Syntax Vxxx n n lt lt DC gt dcval tranfun AC acmag lt acphase gt gt Ixxx n n lt lt DC gt dcval lt tranfun gt AC acmag lt acphase gt gt lt M val gt Parameter Description V XXX Independent voltage source element name Must begin with V followed by up to 1023 alphanumeric characters IXXX Independent current source element name Must begin with I followed by up to 1023 alphanumeric characters n Positive node n Negative node DC dcval DC source keyword and value in volts The tranfun value at time zero overrides the DC value Default 0 0 tranfun Transient source function one or more of AM DC EXP PAT PE PL PU PULSE PWL SFFM SIN The functions specify the characteristics of a time varying source See the individual functions for syntax AC AC source keyword for use in AC small signal analysis acmag Magnitude RMS of the AC source in volts acphase Phase of the AC source in degrees Default 0 0 M Multiplier to simulate multiple parallel current sources HSPICE or HSPICE
328. e users who are not yet ready to migrate to the new approach In particular the last section was added to explain the setup for simulating the effects of global and local variations on silicon with Monte Carlo The following subjects are described in this appendix Application of Statistical Analysis Analytical Model Types Simulating Circuit and Model Temperatures WorstCase Analysis Monte Carlo Analysis Worst Case and Monte Carlo Sweep Example Simulating the Effects of Global and Local Variations with Monte Carlo HSPICE amp Simulation and Analysis User Guide 543 Y 2006 03 Appendix A Statistical Analysis Application of Statistical Analysis Application of Statistical Analysis When you design an electrical circuit it must meettolerances for the specific manufacturing process The electrical yield is the number of parts that meetthe electrical test specifications Overall process efficiency requires maximum yield To analyze and optimize the yield Synopsys HSPICE supports statistical techniques and observes the effects of variations in element and model parameters Analytical Model Types To model parametric and statistical variation in circuit behavior use 544 PARAM Statement to investigate the performance of a circuit as you change circuit parameters For details about the PARAM statement see the PARAM statement in the HSPICE Command Reference Temperature variation analysis to vary the circui
329. e value HSPICE RF HB voltage current or power source value Multiple HB specifications with different harm lt modtone gt gt gt gt gt gt gt tone modharm and modtone values are allowed phase is in degrees harm and tone are indices corresponding to the tones specified in the HB statement Indexing sta4 r m 2 1 0 Tw to HSPICE Simulation and Analysis User Guide 353 Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis 354 Parameter Description lt TRANFORHB 0 1 gt DCOPEN lt z0 val gt 0 default The transient description is ignored if an HB value is given or a DC value is given If no DC or HB value is given and TRANFORHB 0 then HB analysis treats the source as a DC source and the DC source value is the time 0 value 1 HB analysis uses the transient description if its value is VMRF SIN PULSE PWL or LFSR If the type is a non repeating PWL source then the time infinity value is used as a DC analysis source value For example the following statementis treated as a DC source with value 1 for HB analysis v110PWL 00 1n 1 1u 1 TRANFORHB 1 In contrast the following statement is a OV DC source v110PWL 00 1n 1 1u 1 TRANFORHB 0 The following statement is treated as a periodic source with a lus period that uses PWL values v110PWL 001n10 999U11u0 R TRANFORHB 1 To override the global TRANFORHB option explicitly set TRANFORHB for a voltage or current so
330. e value of the controlled source flows through the voltage source named vsENS F1 13 5 VSENS MAX 3 MIN 3 5 Note To use a current controlled current source you can place a dummy independent voltage source into the path of the controlling current The defining equation is I F1 5 VSENS Current gain is 5 Maximum current flow through F1 is 3 A Minimum current flow is 3 A If I VSENS 2 A then this examples sets 1 F1 to 3 amps not 10 amps as the equation suggests You can define a parameter for the polynomial coefficient s PARAM VU 5 F1 13 5 VSENS MAX 3 MIN 3 VU Example 2 This example is a current controlled current source with the value IF2 21e 3 1 3e 3 VCC Current flows from the positive node through the source to the negative node The positive controlling current flows from the positive node through the source to the negative node of vnam linear or to the negative node of each voltage source nonlinear F2 12 10 POLY VCC 1MA 1 3M HSPICE 8 Simulation and Analysis User Guide 183 Y 2006 03 Chapter 5 Sources and Stimuli Voltage Dependent Current Sources G Elements Example 3 This example is a delayed current controlled current source Fd 1 0 DELAY vin TD 7ns SCALE 5 Example 4 This example is a piecewise linear current controlled current source Filim 0 out PWL 1 vsrc 1a 1a 1a 1a Voltage Dependent Current Sources G Elements 184 This section expl
331. eNets al122a1b4455 bi1c77 88 c_l RELUCTANCE q 1 103e9 34 7e9 9 95e9 114e9 34 7e9 103e9 103e9 34 7e9 9 95e9 114e9 34 7e9 103e9 103e9 34 7e9 9 95e9 114e9 34 7e9 103e9 Boo coco coco cock cock ok ok ok koc t0 tO OY o OY 2 00 00 U1 OO Ul IO 1 1 i Ma 1 1 4 4 7 2 2 2 5 5 8 3 3 3 6 6 9 S HORTALL no IGNORE COUPLING no HSPICE 8 Simulation and Analysis User Guide 89 Y 2006 03 Chapter 4 Elements Passive Elements Alternatively the same element could be specified by using L ThreeNets a1122a10 445551 c778 8c 1 RELUCTANCE FILE reluctance dat SHORTALL no IGNORE COUPLING no Where reluctance dat contains hb 103e9 34 7e9 9 95e9 114e9 34 7e9 103e9 103e9 34 7e9 9 95e9 114e9 34 7e9 103e9 103e9 34 7e9 9 95e9 114e9 34 7e9 103e9 Took kobO kRo okR ok o okR xk B kx Roo Q0 OY OY 0 0 Q O0 U1 UL BO PO IO iS BREE Q0 tO OY o OY 2 00 00 U1 OO Ul IO 1 1 i d iR ED The following shows the mapping between the port numbers and node pairs Ports 2 3 4 6 7 8 9 Node pairs a 1 1 2 2 a_1 b 4 4 5 5 b_1 c 7 7 8 8 _1 90 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Active Elements Active Elements This section describes the passive elements diodes and transistors Diode Element Geometric LEVEL 1 or Non Geometric LEVEL 3 form Dxxx nplus nminus mname lt lt AREA gt a
332. ecify W in a capacitor model Capacitor length in meters Default 0 0 if you did not specify L in a capacitor model Element temperature difference from the circuit temperature in degrees Celsius Default 0 0 Capacitance at room temperature specified as a function of any node voltages any branch currents any independent variables such as time hertz and temper Determines capacitance charge calculation for elements with capacitance equations If the C capacitance is a function of V nl lt n2 gt set CTYPE 0 Use this setting correctly to ensure proper capacitance calculations and correct simulation results Default 0 Keyword to specify capacitance as a non linear polynomial Coefficients of a polynomial described as a function of the voltage across the capacitor cO represents the magnitude of the Oth order term c1 represents the magnitude of the 1storder term and so on You cannot use parameters as coefficient values You can specify capacitance as a numeric value in units of farads as an equation or as a polynomial of the voltage The only required fields are the two nodes and the capacitance or model name 72 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements If you use the parameter labels the nodes and model name must precede the labels Other arguments can follow in any order If you specify a capacitor model see the Passive Device Models
333. ecifying the model name with the bin extension for example devices from bins 1 and 2 receive different variation on the parameter 1int which models length variation nmos snps20N 1 lint 10n nmos snps20N 2 lint 12n Variations of Element Parameters Devices are not only affected by variations in the underlying model parameters but also through variations of properties specified at instantiation of an element or variations on implied properties such as local temperature Also early in the design phase passive devices sometimes have only a nominal value but no model yet because no decision has been made on the particular implementation For these elements variations can be specified on the implicit value parameter for example R11 0 1k In the simplest case when there are no dependencies or correlations a variation with normal distribution is described with the following syntax element type element parameter Expression for Sigma If the expression references only constants and parameters that evaluate to constants then a Gaussian variation with zero mean and a sigma equal to the expression is automatically implied Note that a shorthand notation is used parameter expression The meaning of this is actually variation in parameter expression HSPICE 8 Simulation and Analysis User Guide 439 Y 2006 03 Chapter 14 Variation Block Variation Block Structure For example the following defines a normal distributio
334. ect to the same nodes In this case when you run specific analyses HSPICE or HSPICE RF selects the appropriate DC AC or transient source The exception is the zero time value of a transient source which over rides the DC value itis selected for operating point calculation for all analyses Example VIN 13 2 0 5 AC 1 SIN 0 1 1MEG Where DC source of 0 5 V AC source of 1 V Transient damped sinusoidal source Each source connects between nodes 13 and 2 For DC analysis the program uses zero source value because the sinusoidal source is zero at time zero HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Elements Port Element The port element identifies the ports used in LIN analysis Each port element requires a unique port number If your design uses N port elements your netlist must contain the sequential set of port numbers 1 through N for example in a design containing 512 ports you must number each port sequentially 1 to 512 Each port has an associated system impedance zo If you do not explicitly specify the system impedance the default is 50 ohms The port element behaves as either a noiseless impedance or a voltage source in series with the port impedance for all other analyses DC AC or TRAN m Youcan use this elementas a pure terminating resistance or as a voltage or power source Youcan use the RDC RAC RHB RHBAC and rtran
335. ectory HSPICE can also find the library but it prints a warning The depth of nested calls is limited only by the constraints of your system configuration A library cannot contain a call to a library of its own entry name within the same library file AHSPICE or HSPICE RF library cannot contain the END statement ALTER processing cannot change LIB statements within a file that an INCLUDE statement calls Automatic Library Selection Automatic library selection searches a sequence of up to 40 directories The hspice ini file sets the default search paths Note HSPICE RF does not read the hspice ini file Use this file for directories that you want HSPICE to always search HSPICE searches for libraries in the order specified in OPTION SEARCH statements When HSPICE encounters a subcircuit call the search order is 1 Read the input file for a SUBCKT or MACRO With the specified call name 2 Read any rNwc files or LIB files fora SUBCKT or MACRO with the specified call name HSPICE 8 Simulation and Analysis User Guide 51 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition 52 3 Search the directory containing the input file for the call name inc file 4 Search the directories in the OPTION SEARCH list You can use the HSPICE library search and selection features to simulate process corner cases using OPTION SEARCH libdir to target different process d
336. ed in section Variations Specified on Model Parameters are resolved in this method by specifying global and local variations directly on a model parameter with the syntax parameterName parameterValue LOT distribution LotDist DEV distribution DevDist Where LOT keyword for global distribution DEV keyword for local distribution distribution is as explained in section Variations Specified on Geometrical Instance Parameters LotDist DevDist characteristic number for the distribution 3 sigma value for Gaussian distributions test12 sp has large global and small local variation similar to the setup in the file test3 sp The resultshows four different curves with a large common part and small separate parts The amount of variation defined in the two files is the same The curves look different from the test3 sp results because different random sequences are used However the statistical results sigma converge for a large number of samples There is no option available to select only local or only global variations This can be an obstacle if the file is read only or encrypted Combinations of Variation Specifications S pecifying distributions on parameters and applying them to model parameters can be used on some models and the DEV LOT approach on others in the same simulation test13 sp has DEV LOT specified for model res1 and the parameter width for model res2 The values for the resistors with model res1 are differen
337. ed parameter listed in tables in this section Elname Name of the element Example PLOT TRAN V 1 12 I X2 VSIN I2 Q3 DI01 GD PRINT TRAN X2 M1 CGGBO M1 CGDBO X2 M1 CGSBO The PRINT example applies to both HSPICE and HSPICE RF the PLOT example applies to HSPICE only Specifying User Defined Analysis MEASURE Use the MEASURE Statement to modify information and to define the results of successive HSPICE or HSPICE RF simulations Computing the measurement results is based on postprocessing output If you use the INTERP option to reduce the size of the postprocessing output then the measurement results can contain interpolation errors For more HSPICE Simulation and Analysis User Guide 267 Y 2006 03 Chapter 7 Simulation Output Specifying User Defined Analysis MEASURE 268 information see the OPTION INTERP option in the HSPICE Command Reference Fundamental measurement modes in HSPICE are Rise fall and delay JMFind when Equation evaluation Average RMS min max and peak to peak ntegral evaluation Derivative evaluation Relative error If a MEASURE statement does not execute then HSPICE or HSPICE RF writes 0 0e0 in the mt file as the MEASURE result and writes FAILED in the output listing file Use OPTION MEASFAIL to write results to the mt ms or ma files For more information see the OPTION MEASFAIL option in the HSPICE Command Reference Note Beginning
338. ed separately get local variation assigned and different values are passed into the subcircuit In test5 sp the differences inside of the expressions are kept numerically very small thus the differences from the different values of locwidth are dominant and the results look almost identical to the ones from test3 sp In test6 sp the resistor width is assigned inside of the subcircuit The variations get picked up from the top level Because each subcircuit is a separate entity the parameter w is treated as a separate reference thus each resistor will have its own value partly def HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Simulating the Effects of Global and Local Variations with Monte Carlo In summary each subcircuit has its own parameter space therefore it is possible to put groups of identical components into a subcircuit and within each group all devices have the same parameter values but between the groups parameters are different When specifying variations on these parameters the effects of local variations between the groups are created Variations on a Model Parameter Using a Local Model in Subcircuit If a model is specified within a subcircuit then the specified parameter values apply only to the devices in the same subcircuit Therefore itis possible to calculate the value of a model parameter within the subcircuit for example as a function of geometry informat
339. eep MONTE 1ist numi num2 lt num3 gt numb num lt num7 gt lt gt The val value specifies the number of Monte Carlo iterations to perform A reasonable number is 30 The statistical significance of 30 iterations is quite high If the circuit operates correctly for all 30 iterations there is a 99 probability that over 80 of all possible component values operate correctly The relative error of a quantity determined through Monte Carlo analysis is proportional to val 172 The firstrun values specify the desired number of iterations HSPICE runs from num1 to num1 val 1 The number after firstrun can be a parameter You can write only one number after ist The colon represents from to Specifying only one number makes HSPICE runs only a the one specified point Example 1 In this example HSPICE runs from the 90th to 99th Monte Carlo iterations tran 1n 10 sweep monte 10 firstrun 90 You can write more than one number after list The colon represents from to Specifying only one number makes HSPICE run only at that single point HSPICE 8 Simulation and Analysis User Guide 555 Y 2006 03 Appendix A Statistical Analysis Monte Carlo Analysis Example 1 In this example HSPICE begins running atthe 10th iteration then continues from the 20th to the 30th atthe 40th and finally from the 46th to 72nd Monte Carlo iteration The numbers after list can not be parameter tran 1n 10n sweep monte li
340. efault is 1 HSPICE recalculates many times and saves the largest deviation The resulting parameter value might be greater than or less than nominal val The resulting distribution is bimodal Example 1 In this example each R has an unique variation param mc var agauss 0 1 3 20 swing param val 1000 1 mc_var v vin vin 0 dc 1 ac 1 ri vin 0 1000 1l mc_var r2 vin O 1000 1 mc_var Example 2 In this example each R has an identical variation param mc_var agauss 0 1 3 20 swing param val 1 mc_var v vin vin 0 dc 1 ac 1 ri vin 0 1000 val r2 vin 0 1000 val Example 3 In this example local variations to an instance parameter are applied by assigning randomly generated variations directly to each instance parameter Each resistor r1 through r3 receives randomly different resistance values during each Monte Carlo run param r_local agauss ri 1 2 r r local r2 3 4 rzr local r3 5 6 r zr local 558 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Monte Carlo Analysis Example 4 In this example global variations to an instance parameter are applied by assigning the variation to an intermediate parameter before assigning itto each instance parameter Each resistor r1 through r3 receives the same random resistance value during each Monte Carlo run param r random agauss param r global r random rl 1 2 rzr global r2 3 4 rzr gl
341. efore a continuous output voltage Subckt vco in out amp 1 offset 1 center freq 1 vco gain 1 ic v phase 0 cphase phase 0 1e 10 gl 0 phase cur 1e 10 center freq vco gain v in eout out 0 vol offset amp sin 6 2831853 v phase ends Example 4 In this example controlled sources are used to control VCO output param pi 3 1415926 param twopi 2 pi Subckt vco in inb out outb 0 100k kf 50k out_off 0 0 out_amp 1 0 gs 0s poly 2 c 0 in inb 0 twopi 1e 9 f0 0 0 twopi 1e 9 kf gc c 0 poly 2 s 0 in inb 0 twopi 1le 9 f0 0 0 twopi 1e 9 kf cs s 0 1e 9 ic 0 cc c 0 1e 9 ic 1 eout out 0 vol out_off out_amp v s eoutb outb 0 volz out off out amp v c ic v c 1 v s 0 ends HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements Using the E Element for AC Analysis The following equation describes an E element El ee 0 vol f v 1 v 2 In an AC analysis voltage is computed as follows v ee A delta v1 B delta v2 Where As the derivative of f v 1 v 2 to v 1 at the operating point B is the derivative of f v 1 v 2 to v 2 at the operating point delta v1 is the AC voltage variation of v 1 m delta v2 is the AC voltage variation of v 2 Example This example is based on demonstration netlist eelm sp which is available in directory lt installdir gt demo hspice sources
342. eform truncation on the spectral content You can also use the FFT command to specify m output format frequency number of harmonics total harmonic distortion THD HSPICE Simulation and Analysis User Guide Y 2006 03 10 AC Sweep and Small Signal Analysis Describes how to perform AC sweep and small signal analysis This chapter covers AC small signal analysis AC analysis of an RC network and other AC analysis statements For information on output variables see AC Analysis Output Variables on page 260 For descriptions of individual HSPICE commands referenced in this chapter see the HSPICE Command Reference Using the AC Statement You can use the Ac statement for the following applications Single double sweeps Sweeps using parameters Acanalysis optimization Random Monte Carlo anlayses For AC command syntax and examples see the AAC command in the HSPICE Command Reference AC Control Options You can use the following Ac control options when performing an AC analysis ABSH ACOUT DI MAXAMP RELH UNWRAP HSPICE amp Simulation and Analysis User Guide 343 Y 2006 03 Chapter 10 AC Sweep and Small Signal Analysis AC Small Signal Analysis AC Small Signal Analysis 344 For syntax descriptions for these options see the Netlist Control Options chapter in the HSPICE Command Reference AC small signal analysis in HSPICE or HSPICE RF computes AC output variables as a function
343. egral part of transient convergence Include the following options for both DC and transient convergence Absolute and relative voltages Current tolerances Matrix options Use OPTION statements to specify the following options which control DC analysis HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Accuracy and Convergence ABSTOL DV ITL2 PIVREL CAPTAB GDCPATH KCLTEST PIVTOL CSHDC GRAMP MAXAMP RESMIN DCCAP GSHDC NEWTOL SPARSE DCFOR GSHUNT NOPIV SYMB DCHOLD ICSWEEP OFF DCIC ITLPTRAN PIVOT DCSTEP ITL1 PIVREF DC and AC analysis also use some of these options Many of these options also affect the transient analysis because DC convergence is an integral part of transient convergence For a description of transient analysis see Chapter 9 Transient Analysis Accuracy and Convergence Convergence is the ability to solve a set of circuit equations within specified tolerances and within a specified number of iterations In numerical circuit simulation a designer specifies a relative and absolute accuracy for the circuit solution The simulator iteration algorithm then attempts to converge to a solution that is within these set tolerances That is if consecutive simulations achieve results within the specified accuracy tolerances circuit simulation has converged How quickly the simulator converges is often a primary concern to a designer especially for pre
344. eir names sizes signal direction sequence or order for each vector stimulus and so on A RADIX line must occur first and the other lines can appear in any order in this section All keywords are case insensitive Here is an example Vector Pattern Definition section start of Vector Pattern Definition section RADIX 1111 1111 VNAME ABCDEF GH IO IIII IIII TUNIT ns These four lines are required and appear in the first lines of a VEC file RADIX defines eight single bit vectors VNAME gives each vector a name TIOdetermines which vectors are inputs outputs or bidirectional signals In this example all eight are input signals TUNIT indicates that the time unit for the tabular data to follow is in units of nanoseconds For additional information about these keywords see Defining Tabular Data on page 211 Defining Tabular Data Although the Tabular Data section generally appears lastin a VEC file after the Vector Pattern and Waveform Characteristics definitions this chapter describes it first to introduce the definitions of a vector HSPICE amp Simulation and Analysis User Guide 211 Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File 212 The Tabular Data section defines in tabular format the values of the signals at Specified times Rows in the Tabular Data section must appear in chronological order because row placement carries sequential timing information Its general fo
345. el parameter 5 worst case analysis 548 568 577 Worst Case Corners Analysis 544 X XGRID model parameter 246 Index XL model parameter 549 XMAX model parameter 246 XMIN model parameter 246 XPHOTO model parameter 565 XSCAL model parameter 246 XW model parameter 549 Y YGRID model parameter 246 yield analysis 544 YIN keyword 265 387 YMAX parameter 247 YMIN parameter 247 YOUT keyword 265 387 YSCAL model parameter 247 Z zero delay gate 177 193 ZIN keyword 265 387 ZOUT keyword 265 387 637 Index 638
346. emperature coefficient for the inductor SCALE Element scale parameter scales inductance by its value Default 1 0 IC Initial current through the inductor in amperes HSPICE or L inductance HSPICE RF uses this value as the DC operating point voltage when you specify UIC in the TRAN statement The IC statement overrides it Inductance value This can be a numeric value in henries a parameter in henries a function of any node voltages a function of branch currents any independent variables such as time hertz and temper M Multiplier used to simulate parallel inductors Default 1 0 DTEMP Temperature difference between the element and the circuit in degrees Celsius Default 0 0 R Resistance of the inductor in ohms Default 0 0 L equation Inductance at room temperature specified as a function of any node voltages a function of branch currents any independent variables such as time hertz and temper LTYPE Calculates inductance flux for elements using inductance equations If the L inductance is a function of I Lxxx then set LTYPE 0 Otherwise set LTYP E 1 Use this setting correctly to ensure proper inductance calculations and correct simulation results Default 0 HSPICE Simulation and Analysis User Guide 79 Y 2006 03 Chapter 4 Elements Passive Elements Parameter Description POLY Keyword that specifies the inductance calculated by a polynomial c0 C1 Coefficients of a po
347. ent Analysis Selecting Timestep Control Algorithms 334 The LVLTIM option selects the timestep algorithm LVLTIM 0 selects the iteration count algorithm LVLTIM 1 selects the DvDT timestep algorithm and the iteration count algorithm To control operation of the timestep control algorithm set the DVDT control option For LVLTIM 1 and DVDT 0 1 2 or 3 the algorithm does not use timestep reversal For DVDT 4 the algorithm uses timestep reversal For more information about the DvpT algorithm see DVDT Dynamic Timestep on page 335 LVLTIM 2 Selects the truncation timestep algorithm and the iteration count algorithm with reversal LVLTIM 3 selects the DvDT timestep algorithm with timestep reversal and the iteration count algorithm For LVLTIM 3 and DVDT 0 1 2 3 Or 4 the algorithm uses timestep reversal If HSPICE or HSPICE RF starts the autoconvergence process it sets LVLTIM 2 Iteration Count Dynamic Timestep The simplest dynamic timestep algorithm is the iteration count algorithm The control options that control this algorithm are OPTION IMAX and OPTION IMIN Local Truncation Error Dynamic Timestep The local truncation error LTE timestep algorithm uses a Taylor series approximation to calculate the next timestep for a transient analysis This algorithm uses the allowed LTE to calculate an internal timestep fthe calculated timestep is smaller than the current timestep HSPICE or HSPICE RF set
348. ent parameter 181 190 ma file 15 16 macros 55 magnitude AC voltage 263 magnitude AC voltage 260 262 manufacturing tolerances 563 Marquardt scaling parameter 478 MAX parameter 190 196 max x y function 230 maximum value measuring 271 mean statistical 545 MEASURE statement 242 243 269 expression 270 failure message 268 parameters 227 measuring parameter types 269 menu configuration MS Windows launcher 613 MESFETs 95 META QUEUE environment variable 11 12 Meyer and Charge Conservation parameters 285 MIN parameter 190 196 min x y function 230 minimum value measuring 271 mixed mode See also D2A A2D mixed sources 124 MODEL keyword 469 model parameters A2D 199 ALTER blocks 53 capacitance distribution 566 D2A 199 200 201 DELVTO 549 DTEMP 547 GRAPH statement parameters 246 LENGTH 564 manufacturing tolerances 563 MONO 246 output 246 PHOTO 564 RSH 549 sigma deviations worst case analysis 549 skew 548 TEMP 50 547 temperature analysis 547 TIC 246 TOX 549 TREF 545 547 548 XPHOTO 565 model parameters See model parameters diodes MODEL statement 547 for GRAPH 246 models algebraic 329 characterization 295 DTEMP parameter 519 LV18 509 LX7 LX8 LX9 509 Monte Carlo analysis 553 559 568 reference temperature 547 specifying 62 typical set 552 MONO model parameter 246 Monte Carlo analysis 445 544 545 568 577 demo files 525 distribution options 556 558 application considerations 453 input syn
349. ents PRINT v 1 v 2 v 32 PRINT v 33 v 34 To simplify parsing of the output listings HSPICE or HSPICE RF prints a single x in the first column to indicate the beginning of the PRINT output data A single y in the first column indicates the end of the PRINT output data You can include wildcards in PRINT statements You can also use the iall keyword in a PRINT statement to print all branch currents of all diode BJ T J FET or MOSFET elements in your circuit design Example If your circuit contains four MOSFET elements named m1 m2 m3 m4 then PRINT iall m is equivalent to PRINT i m1 i m2 i m3 i m4 It prints the output currents of all four MOSFET elements Statement Order HSPICE or HSPICE RF creates different swO and trO files based on the order ofthe PRINT and Dc statements If you do not specify an analysis type for a PRINT command the type matches the last analysis command in the netlist before the PRINT statement PLOT Statement Note This is an obsolete statement You can gain the sa 244 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Displaying Simulation Results To make HSPICE find plot limits for each plot individually use OPTION PLIM to create a different axis for each plot variable The PLIM option is similar to the plot limit algorithm in SPICE 2G 6 where each plot can have limits different from any other plot A number from
350. ependent random variables A dependent variable can also be created as a function of more than one independent random variable to express correlation Example 1 This example creates a random variable with normal distribution with mean A and standard deviation B parameter var N Y A B var Example 2 This example creates a random variable with a uniform distribution from D to E where D and E are arbitrary constants parameter var U Y 0 5 D E E D var Example 3 This example creates a random variable with two peaks parameter a N bzN c a s2 sgn b 60 0 40 0 20 0 0 0 5 0 0 0 5 0 HSPICE Simulation and Analysis User Guide 437 Y 2006 03 Chapter 14 Variation Block Variation Block Structure 438 Variations of Model Parameters Variations on model parameters can be defined in both global and local sub blocks In the course of the simulation these variations are then applied to the specified device model parameters In the simplest case a variation with normal distribution is described with the following syntax model type model name model parameter Expression for Sigma If the expression references only constants and parameters that evaluate to constants then a Gaussian variation with zero mean and a sigma equal to the expression is automatically implied A shorthand notation is used for example parameter expression The meaning of this is actually variation in parameter exp
351. equency dependent 86 inductors AC choke 87 current flow 254 element 78 276 node names 78 power line 87 initial conditions 289 file 14 statement 293 initialization 288 290 file 14 saved operating point 294 initialization file 14 INOISE parameter 266 input admittance 265 analog transition data file 15 data adding library data 55 for data driven analysis 50 s operating point initial conditions file 14 iles analog transition data 15 character case 30 compression 29 DC operating point 14 demonstration 524 initialization 14 library 15 names 13 netlist 15 29 output configuration file 14 structure 36 table of components 37 impedance 265 initialization file 14 library file 15 netlist 39 netlist file See also input files 15 39 55 587 output configuration file 14 input netlist file 15 input stimuli 274 input syntax Monte Carlo 448 input output cell modeling 521 installation directory installdir 61 int x function 230 integer function 230 integration algorithms 330 interactive mode 23 quitting 24 Index running command files 24 starting 23 internal nodes referencing 48 interstage gain 262 inverter analysis transient 320 circuit MOS 320 invoking hspice 20 hspicerf 22 interactively 23 iterations algorithm 332 count algorithm 334 number 479 l V and C V plotting demo 508 J JFETs current flow 255 elements 95 281 length 96 power dissipation 259 width 96 K keywords analysis statement syntax 46
352. er with the transfer function HG 1 0 1 0 s 1 s 0 5 j2r 0 1379 s 0 5 j2n 0 1379 168 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements Frequency Response Table Voltage Gain H s Exxx n n FREQ in in f1 al fl fi ai f1 DELF val MAXF val SCALE val lt TCl val gt TC2 val lt LEVEL val gt ACCURACY val For a description of these parameters see E Element Parameters on page 173 Transconductance H s Gxxx n n FREQ in in f1 al f1 fi ai f1 DELF val MAXF val SCALE val lt TCl val gt TC2 val M val LEVEL val lt ACCURACY val gt Where Each fi is a frequency point in hertz ai is the magnitude in dB 1 is the phase in degrees Ateach frequency HSPICE or HSPICE RF uses interpolation to calculate the network response magnitude and phase HSPICE or HSPICE RF interpolates the magnitude in dB logarithmically as a function of frequency It also interpolates the phase in degrees linearly as a function of frequency a IHG2nf o 8 logf a 9 0 ZH j2nf f f Ure For a description of the G Element parameters see G Element Parameters on page 189 Example Eftable output 0 FREQ input 0 1 0k 3 97m 293 7 2 0k 2 00m 211 0 3 0k 17 80m 82 45 To l l l2 z 10 0k 283 20 1125 5 HSPICE amp Sim
353. er Guide Y 2006 03 Appendix A Statistical Analysis Simulating the Effects of Global and Local Variations with Monte Carlo The DEV LOT approach has no mechanism to describe variation as a function of an element parameter Conclusion The three approaches described above for specifying variations are not well Suited for semiconductor technologies because of one or more of the following issues require changes to netlist difficult to recognize whether a variation is global or local no way to describe variability as a function of device size no way to run only global or only local variation To overcome these issues a new approach was introduced in HSPICE This approach is based on a so called variation block See chapter 14 For details on the variation block see Chapter 14 Variation Block and for details on how Monte Carlo analysis is processed with this new approach see Chapter 15 Monte Carlo Analysis HSPICE Simulation and Analysis User Guide 585 Y 2006 03 Appendix A Statistical Analysis Simulating the Effects of Global and Local Variations with Monte Carlo 586 HSPICE Simulation and Analysis User Guide Y 2006 03 B Full Simulation Examples Contains information and sample input netlists for two full simulation examples The examples in this chapter show the basic text and post processor output for two sample input netlists Note The examples are for Synopsys HSPICE but with minimal modificati
354. er model Model 4 Frequency dependent tabular model Model 5 S Parameter Model W Element Statement The general syntax for a lossy W Element transmission line element is RLGC file form Wxxx inl in2 inx refin outi out2 outx refout RLGCfile filename N val L val U Model form Wxxx inl in2 lt inx gt gt refin outi out2 outx refout lt Umodel modelname gt N val L val Field solver form Wxxx inl in2 lt inx gt gt refin outi out2 outx refout lt FSmodel modelname gt N val L val The number of ports on a single transmission line are not limited You must provide one input and output port the ground references a model or file reference a number of conductors and a length HSPICE RF does not support the Field Solver form of the W element S Model form Wxxx inl lt in2 lt inx gt gt refin outl lt out2 lt outx gt gt refout lt Smodel modelname gt lt NODEMAP XiYj gt N val L val HSPICE 8 Simulation and Analysis User Guide 101 Y 2006 03 Chapter 4 Elements Transmission Lines Table Model form Wxxx inl in2 inx refin outl out2 lt outx gt gt refout N val L val TABLEMODEL name Parameter Description Wxxx Lossy W Element transmission line element name Muststart with W followed by up to 1023 alphanumeric characters inx Signal input node for x transmission line in1 is required refin Ground ref
355. erence for input signal outx Signal output node for the x transmission line each input port must have a corresponding output port refout Ground reference for output signal N Number of conductors excluding the reference conductor L Physical length of the transmission line in units of meters RLGCfile filename Umodel modelname FSmodel modelname 102 File name reference for the file containing the RLGC information for the transmission lines for syntax see Using the W Element in the HSPICE Signal Integrity Guide U model lossy transmission line model reference name A lossy transmission line model used to represent the characteristics of the W element transmission line Internal field solver model name References the PETL internal field solver as the source of the transmission line characteristics for syntax see Using the Field Solver Model chapter in the HSPICE Signal Integrity Guide HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Transmission Lines Parameter Description NODEMAP String that assigns each index ofthe S parameter matrix to one of the W Element terminals This string must be an array of pairs that consists of a letter and a number for example Xn where X l i N orn to indicate near end input side terminal of the W element X O i F orfto indicate far end output side terminal of the W element The default value for NODEMAP is I11213
356. erences the BJ T model HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Active Elements The AREA area factor is 1 5 The AREAB area factor is 2 5 The AREAC area factor is 3 0 Example 3 In the Qdrive BJ T element below Odrive driver in output model npn 0 1 The collector connects to the driver node The base connects to the in node The emitter connects to the output node model npn references the BJ T model The area factor is 0 1 JFETs and MESFETs Jxxx nd ng ns nb mname lt lt lt AREA gt area lt W val gt L val OFF IC vdsval vgsval lt M val gt lt DTEMP val gt Jxxx nd ng ns nb mname lt lt lt AREA gt area gt lt W val gt L val OFF lt VDS vdsval gt lt VGS vgsval gt lt M val gt lt DTEMP val gt Parameter Description J Xxx JFET or MESFET element name Must begin with J followed by up to 1023 alphanumeric characters nd Drain terminal node name ng Gate terminal node name ns Source terminal node name nb Bulk terminal node name which is optional mname JFET or MESFET model name reference HSPICE 8 Simulation and Analysis User Guide 95 Y 2006 03 Chapter 4 Elements Active Elements Parameter Description area Area multiplying factor that affects the BETA RD RS IS CGS and AREA area CGD model parameters Default 1 0 in units of square meters W FET gate width in meters L FET gate lengt
357. es The drain connects to the driver node The gate connects to the in node The source connects to the output node bsim3v3 references the MOSFET model The length of the gate is 3 microns The width of the gate is 0 25 microns The device temperature is 4 degrees Celsius higher than the circuit temperature Transmission Lines 100 A transmission line is a passive element that connects any two conductors at any distance apart One conductor sends the input signal through the transmission line and the other conductor receives the output signal from the transmission line The signal that is transmitted from one end of the pair to the other end is voltage between the conductors Examples of transmission lines include Power transmission lines Telephone lines Waveguides Traces on printed circuit boards and multi chip modules MCMs Bonding wires in semiconductor IC packages On chip interconnections W Element The W element supports five different formats to specify the transmission line properties Model 1 RLGC Model specification e Internally specified in a model statement Externally specified in a different file HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Transmission Lines Model 2 U Model specification e RLGC input for up to five coupled conductors Geometric input planer coax twin lead e Measured parameter input Skin effect Model 3 Built in field solv
358. es minimum noise RN noise equivalent resistance m K STABILITY FACTOR Rollett stability factor MU STABILITY FACTOR Edwards amp Sinsky stability factor G MAX maximum available operating power gain G MSG Maximum stable gain G TUMAX Maximum unilateral transducer power gain G_U Unilateral power gain G MAX GAMMAT source reflection coefficient that achieves maximum available power gain G MAX GAMMA2 load reflection coefficient that achieves maximum operating power gain G MAX Zl Source impedance needed to realize G MAX complex Ohms G MAX Z2 Load impedance needed to realize G MAX complex Ohms G MAX Y1 Source admittance needed to realize G MAX complex Siemens G MAX Y2 Load admittance needed to realize G MAX complex Siemens G_AS associate gain maximum gain at the minimum noise figure VSWR n voltage standing wave ratio at the n port GD group delay from port 1 to port 2 G MSG maximum stable gain G TUMAX maximum unilateral transducer power gain G_U unilateral power gain 358 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis Argument Description TYPE Data type definitions R Real I Imaginary M Magnitude P PD Phase in degrees PR Phase in radians DB decibels Examples print AC S11 S21 DB S 2 3 D S 2 1 I print AC NFMIN GAMMA OPT G AS probe AC RN G M
359. esistance RB Vc e Voltage across the collector emitter terminals Vcc Voltage across the series collector resistance RC Vee Voltage across the series emitter resistance RE Vsb Voltage across the substrate base junction Vsc Voltage across the substrate collector junction HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters JFET Power Dissipation Pd Vd s Ido Vgd Igdo Vgs Igso Vs s Ido Igso Icgs Vdd Ido Igdo Icgd Parameter Description Icgd Capacitive component of the gate drain junction current Icgs Capacitive component of the gate source junction current Ido DC component of the drain current Igdo DC component of the gate drain junction current Igso DC component of the gate source junction current Pd Power dissipated in a J FET Vd s Voltage across the internal drain source terminals Vdd Voltage across the series drain resistance RD Vgd Voltage across the gate drain junction Vgs Voltage across the gate source junction Vs s Voltage across the series source resistance RS MOSFET Power Dissipation Pd Vd s Ido Vbd Ibdo Vbs Ibso Vs s Ido Ibso Icbs Icgs Vdd Ido Ibdo Icbd Icgd Parameter Description Ibdo DC component of the bulk drain junction current Ibso DC component of the bulk source junction current Icbd Capacitive component of the bulk
360. etwork The nodes shared by both main circuit elements including PRINT PROBE and MEASURE statements and RC elements are the port nodes of the RC network All other RC nodes are internal nodes The currents flowing into the port nodes are a frequency dependent function of the voltages at those nodes The multiport admittance of a network represents this relationship The SIM LA option formulates matrices to represent multiport admittance Then to eliminate as many internal nodes as possible it reduces the size of these matrices while preserving the admittance otherwise known as port node behavior The amount of reduction depends on the f0 upper frequency the threshold frequency where SIM_LA preserves the admittance This is shown graphically in Figure 77 HSPICE 8 Simulation and Analysis User Guide 499 Y 2006 03 Chapter 18 RC Reduction Linear Acceleration 500 Figure 77 Multiport Admittance vs Frequency A admittance fo frequency The SIM LA option is very effective for post layout simulation because of the volume of parasitics For frequencies below f the approx signal matches that of the original admittance Above fo the two waveforms diverge but presumably the higher frequencies are not of interest The lower the 0 frequency the greater the amount of reduction For the syntax and description of this control option see OPTION SIM LA in the HSPICE Command Refe
361. evel This section describes the scope of parameter names and how HSPICE resolves naming conflicts between levels of hierarchy HSPICE 8 Simulation and Analysis User Guide 233 Y 2006 03 Chapter 6 Parameters and Functions Parameter Scoping and Passing 234 Library Integrity Integrity is a fundamental requirement for any symbol library Library integrity can be as simple as a consistent intuitive name scheme or as complex as libraries with built in range checking Library integrity might be poor if you use libraries from different vendors in a circuit design Because names of circuit parameters are not standardized between vendors two components can include the same parameter name for different functions For example one vendor might build a library that uses the name Tau as a parameter to control one or more subcircuits in their library Another vendor might use Tau to control a different aspect of their library If you set a global parameter named Tau to control one library you also modify the behavior of the second library which might not be the intent If the scope of a higher level parameter is global to all subcircuits at lower levels of the design hierarchy higher level definitions override lower level parameter values with the same names The scope of a lower level parameter is local to the subcircuit where you define the parameter but global to all subcircuits that are even lower in the design hierarchy Local scoping
362. f each sample new random values are calculated for these parameters For each reference a new random value is generated however no new value is generated for a derived parameter Therefore itis possible to apply independent variations to parameters of different devices as well as the same variation to parameters of a group of devices Parameters that describe distributions can be used in expressions thus it is possible to create combinations of variations correlations These concepts are best explained with circuit examples In the three following examples variation is defined on the width of a physical resistor which has a model If this device was a polysilicon resistor for example then the variations describe essentially the effects of photoresist exposure and etching on the width of the poly layer HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Simulating the Effects of Global and Local Variations with Monte Carlo testl sp has a distribution parameter defined called globw A parameter called globwidth is assigned the value of globw The parameter globwidth is assigned a different random value for each Monte Carlo sample The parameter globwidth is used to define the width of the physical resistors r1 r2 r3 and r4 with model resistor Since parameter globwidth does not have its own distribution defined but rather gets its value from the parameter globw the value for globwidth i
363. f skew parameters are the difference between the drawn and physical dimension of metal postillion or active layers on an integrated circuit Generally you specify skew parameters independently of each other so you can use combinations of skew parameters to represent worst cases Typical Skew parameters for CMOS technology include XL polysilicon CD critical dimension of the poly layer representing the difference between drawn and actual size XW XW active CD critical dimension of the active layer representing the difference between drawn and actual size m Tox thickness of the gate oxide HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Worst Case Analysis RSH RSH resistivity of the active layer DELVTO DELVTO variation in threshold voltage You can use these parameters in any level of MOS model within the HSPICE device models The DELVTO parameter shifts the threshold value HSPICE adds this value to vTO for the Level 3 model and adds or subtracts it from VFBO for the BSIM model Table 60 shows whether HSPICE adds or subtracts deviations from the average Table 60 Sigma Deviations Type Parameter Slow Fast NMOS XL t RSH t DELVTO t TOX t XW t PMOS XL t RSH t DELVTO t TOX t XW t HSPICE selects skew parameters based on the available historical data that it collects either during fabrication or electrical test For example
364. fferential driver that drives positive nodes with half of their specified voltage and the negative nodes with a negated half of the specified voltage Figure 54 shows the block diagram of the mixed mode port element Figure 54 Mixed Mode Port Element P1 port element N nl Du Zo V e Zo y nl ref Plnli nl nl refZ4250 The port element can also be used as a signal source with a built in reference impedance For further information on its use as a signal source see Chapter 5 Sources and Stimuli LIN Input Syntax LIN sparcalc 1 0 modelname gt gt filename format selem citi touchstone noisecalc 1 0 gdcalc 1 0 lt mixedmode2port dd dc ds cd cc cs sd sc ss gt lt dataformat ri ma db gt 356 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis For argument descriptions see the LIN command in the HSPICE Command Reference LIN Output Syntax This section describes the syntax for the PRINT and PROBE Statements used for LIN analysis PRINT and PROBE Statements PRINT AC Xmn Xmn LINPARAM TYPE X m n X m n LINPARAM TYPE Hmn Hmn TYPE H m n H m n TYPE gt PROBE AC lt Xmn Xmn LINPARAM TYPE X m n X m n LINPARAM TYPE gt lt Hmn Hmn TYPE H m n H m n TYPE gt Argument Description Xmn X m n One
365. fications are near those of the original target This reduces the number of times the optimizer reselects component values and resimulates the circuit Optimization Control How much time an optimization requires before it completes depends on Number of iterations allowed Relative input tolerance Output tolerance Gradient tolerance The default values are satisfactory for most applications Generally 10 to 30 iterations are sufficient to obtain accurate optimizations Simulation Accuracy For optimization set the simulator with tighter convergence options than normal The following are suggested options For DC MOS model optimizations absmos relmos relv le For DC J FET BJT and diode model optimizations absi 1e 10 reli le 5 relv 1e 4 le 8 le 5 e e 4 For transient optimizations relv 1e 4 relvar le 2 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview Curve Fit Optimization Use optimization to curve fit DC AC or transient data 1 Usethe DATA statement to store the numeric data for curves in the data file as in line data 2 Usethe PARAM xxx OPTxxx Statementto specify the variable circuit components and the parameter values for the netlist The optimization analysis statements use the DATA keyword to call the in line data 3 Usethe MEASURE statementto compare the simulation resultto the values in the data file In this st
366. file which contains the signal name list is longer than the maximum line length that your text editor accepts Do not put a blank first line in a digital D2A file If the first line of a digital file is blank HSPICE issues an error message Example The following example demonstrates how to use the line continuation character to format an input file for text editing The example file contains a signal list for a 64 bit bus a00 a01 a02 a03 a04 a05 a06 a07 a08 a09 a10 all a12 a13 a14 a15 Continuation of signal names HSPICE Simulation and Analysis User Guide 199 Y 2006 03 Chapter 5 Sources and Stimuli Digital and Mixed Mode Stimuli 200 a56 a57 a58 a59 a60 a61 a62 a63 End of signal names Remainder of file General Form Uxxx interface nlo nhi mname SIGNAME sname IS val Parameter Description U xxx Digital input element name Must begin with U followed by up to 1023 alphanumeric characters interface Interface node in the circuit to which the digital input attaches nlo Node connected to the low level reference nhi Node connected to the high level reference mname Digital input model reference U model SIGNAME S ignal name as referenced in the digital output file header Can be a string of up to eight alphanumeric characters IS Initial state of the input element Must be a state that the model defines Model Syntax MODEL mname U LEVEL 5 parameters Digital input not sup
367. g analog events are available when using HSPICE with Verilog A Table 52 Verilog A Analog Event Controlled Statements Function Description Initial Step Event trigger at first step of an analysis QG initial step list of analyses Final Step Event trigger at last step of an analysis QG final step list of analyses HSPICE 8 Simulation and Analysis User Guide 403 Y 2006 03 Chapter 12 Using Verilog A Introduction to Verilog A Table 52 Verilog A Analog Event Controlled Statements Continued Function Description Cross Zero crossing threshold detection cross expr dir time_tol expr_tol Timer Generates analog event at specific time timer start_time period time_tol Above Generates an event when a specified expression becomes greater than or equal to zero above expr time_tol expr_tol Timestep and Simulator Control These functions provide a mechanism to alert the simulator to discontinuities or to limit the time step Table 53 Verilog A Discontinuity and Time Step Limit Functions Function Description Bound time step Controls the maximum time step the simulator takes during a transient simulation bound step expression Announce Provides the simulator information about known discontinuities to discontinuity provide help for simulator convergence algorithms discontinuity constant expression System Tasks and I O Functions S ystem functions pr
368. g parameter 1 S ZIN i Zoul s UL YIN i The Input Admittance at the portis the complex admittance into a port with all other ports terminated in their appropriate characteristic impedance It is related to that port s scattering parameter 1 1 5 YI eum ll NES Z d ul K_STABILITY_FACTOR Rollett Stability Factor The Rollett stability factor is 2 2 2 1 s s A g Lul bad 2151 521 determines the two port S matrix calculated from this equation A 1 555 815551 An amplifier where K gt 1 is unconditionally stable at the selected frequency HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis RF Measurements From LIN MU STABILITY FACTOR Edwards Sinsky Stability Factor The following equation defines the Edwards Sinsky stability factor E PEN WIS AS IHS USO A 1 535 555 An amplifier with u gt 1 is considered unconditionally stable at the specified frequency Maximum Available Power Gain G MAX This is the gain value that can be realized if the two port is simultaneously conjugate matched at both input and output with no additional feedback Ga Pal JK 1 52 K is the Rollett stability factor Special cases of G MAx are handled in the following manner If S5 5 0 and Si 1 or S22 1 G MAX4S 4l f S 12 0 and S 11 41 and S25 z1 G MAX2G TUMAX f S z0 and Kx 1 G MAX G MSG When values for K
369. ges Ideally the trapezoidal is the preferred algorithm overall because of its highest accuracy level and lowest simulation time However selecting the appropriate algorithm for convergence is not always that easy or ideal Which algorithm you select largely depends on the type of circuit and its associated behavior when you use different input stimuli Gear and Trapezoidal Algorithms The algorithm that you select automatically sets the timestep control algorithm In HSPICE if you select the GEAR algorithm including Backward E uler the timestep control algorithm defaults to the truncation timestep algorithm However if you select the trapezoidal algorithm the DvDT algorithm is the default To change these HSPICE defaults use the timestep control options HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Numerical Integration Algorithm Controls Figure 46 Time Domain Algorithm Initialization IC NODESET i gt Iteration Solution Converged A Reversal Time Step Algorithm Advancement A thew toig At Time Step Unit Check E xtrapolated Solution TTimectapitoo Fail for timepoint n small error d The trapezoidal algorithm can cause computational oscillation that is oscillation that the algorithm itself causes not oscillation from the circuit design This also produces an unusually long simulation time If this o
370. ght Model keys and skew parameters can use the same names HSPICE 8 Simulation and Analysis User Guide 551 Y 2006 03 Appendix A Statistical Analysis Worst Case Analysis Skew File Interface to Device Models Skew parameters are model parameters for transistor models or passive components A typical device model set includes MOSFET models for all device sizes by using an automatic model selector RC wire models for polysilicon metall and metal2 layers in the drawn dimension Models include temperature coefficients and fringe capacitance Single diode and distributed diode models for N P and well includes temperature leakage and capacitance based on the drawn dimension BJ T models for parasitic bipolar transistors You can also use these for any special BJ Ts such as a BiCMOS for ECL BJ T process includes current and capacitance as a function of temperature Metall and metal2 transmission line models for long metal lines Models must accept elements Sizes are based on a drawn dimension If you draw a cell at 2u dimension and shrink it to 1u the physical size is 0 9 The effective electrical size is 0 8 Account for the four dimension levels drawn size Shrunken size e physical size electrical size Most simulator models scale directly from drawn to electrical size HSPICE MOS models support all four size levels as in Figure 96 552 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix
371. gies Discrete component pin package and vendor IC libraries Interactive graphing and analysis of multiple simulation waveforms by using with AvanWaves and CosmosScope Flexible license manager that allocates licenses intelligently based on run status and user specified job priorities you specify If you suspend a simulation job the load sharing facility LSF license manager signals HSPICE to release that job s license This frees the license for another simulation job or so the stopped job can reclaim the license and resume You can also prioritize simulation jobs you submit LS F automatically suspends low priority simulation jobs to run high priority jobs When the high priority job completes LSF releases the license back to the lower priority job which resumes from where it was suspended A number of circuit analysis types see Figure 2 and device modeling technologies see Figure 3 HSPICE 8 Simulation and Analysis User Guide 3 Y 2006 03 Chapter 1 Overview Features Figure 2 Synopsys HSPICE or HSPICE RF Circuit Analysis Types Parametric Operating l Point Monte Carlo Pole Zero HSPICE Optimization or Monte Carlo HSPICE RF Data Driven Monte Carlo analysis supported in Frequency Transient Synopsys HSPICE only ESS S parameter Monte Carlo Optimization Optimization Mixe
372. given tap the weight gi is either 0 meaning no connection or 1 meaning itis fed back Two exceptions are g0 and gm which are always 1 and therefore always connected The gm is not really a feedback connection but rather an input of the shift register that is assigned a feedback weight for mathematical purposes The maximum number of bits is defined by the first number in your TAPS definition For example 23 22 21 20 19 7 denotes a 23 stage LFSR The TAPS definition is a specific feedback tap sequence that generates an M Sequence PRB The LFSR stages limit is between 2 and 30 The seed cannot be setto zero HSPICE reports an error and exits the simulation if you set the seed to zero 155 Chapter 5 Sources and Stimuli Voltage and Current Controlled Elements Conventions for Feedback Tap Specification A given set of feedback connections can be expressed in a convenient and easy to use shorthand form with the connection numbers listed within a pair of brackets The g0 connection is implied and not listed since itis always connected Although gm is also always connected itis listed in order to convey the shift register size number of registers The following line is a set of feedback taps where j is the total number of feedback taps not including g0 f 1 2m is the highest order feedback tap and the size of the LFSR and f j are the remaining feedback taps E135 SET SECUS acea E Hd Example The following li
373. gs of the timestep control method options such as RUNLVL LVLTIM and DVDT HSPICE 8 Simulation and Analysis User Guide 337 Y 2006 03 Chapter 9 Transient Analysis Fourier Analysis The affect of TSTEP and RMAX depend on the timestep control method in use If RUNLVL 0 HSPICE never takes timesteps larger than TSTEP RMAX The size of the timestep is controlled by voltage current and charge tolerances and LVLTIM DVDT butin any case the step size is never allowed to exceed TSTEP RMAX If RUNLVL gt 0 HSPICE is allowed to take timesteps larger than TSTEP RMAX The size of the timestep is controlled by voltage current and charge tolerances However these tolerance values are affected by TSTEP RMAX S0 that smaller TSTEP values do result in tighter tolerances and therefore smaller timesteps Compared with RUNLV 0 this tends to resultin larger timesteps and faster simulation speeds especially in regions with flat or slowly varying waveforms Timestep Controls in HSPICE RF The timestemp controls in HSPICE RF are described in RF Transient Analysis Accuracy Control in the HSPICE RF Manual Fourier Analysis This section describes the Fourier and FFT Analysis flow for HSPICE 338 HSPICE Simulation and Analysis User Guide Y 2006 03 Figure 49 Fourier and FFT Analysis Chapter 9 Transient Analysis Fourier Analysis FOUR Statement Transient i i i Time sweep FOUR ney simulati
374. gt l s O V lt gt Vi l Vo l k lo o Q E Element Parameters The E element parameters described in the following list Parameter Description ABS Output is an absolute value if ABS 1 DELAY Keyword for the delay element Same as for the voltage controlled voltage source exceptithas an associated propagation delay TD This element adjusts propagation delay in macro subcircuit modeling DELAY is a reserved word do not use it as a node name DELTA Controls the curvature of the piecewise linear corners This parameter defaults to one fourth of the smallest distance between breakpoints The maximum is one half of the smallest distance between breakpoints EXXX Voltage controlled element name Must begin with E followed by up to 1023 alphanumeric characters gain Voltage gain HSPICE Simulation and Analysis User Guide 173 Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements Parameter Description gatetype k Can be AND NAND OR or NOR krepresents the number of inputs of the gate x and y representthe piecewise linear variation of output as a function of input In multi inputgates only one input determines the state of the output IC Initial condition initial estimate of controlling voltage value s If you do not specify IC default 0 0 in Positive or negative controlling nodes Specify one pair for each dimension k Ideal tran
375. h frequency extrapolation 0 Use zero in Y dimension open circuit 1 Use highest frequency 2 Use linear extrapolation with the highest two points 3 Apply window function default This option overrides EXTRAPOLATION in model SP Specifies low frequency extrapolation 0 Use zero in Y dimension open circuit 1 Use lowest frequency default 2 Use linear extrapolation with the lowest two points This option overrides EXTRAPOLATION in model SP Set to 1 if the parameters are represented in the mixed mode A string used to determine the order of the indices of the mixed signal incident or reflected vector The string must be an array of a letter and a number Xn where X to indicate a differential term C to indicate a common term S to indicate a single grounded term n the port number HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Transmission Lines Parameter Description DTEMP Temperature difference between the elementand the circuit Expressed in C The default is 0 0 NOISE Activates thermal noise 1 default element generates thermal noise 0 element is considered noiseless a Circuit temperature is specified by using the TEMP statement or by sweeping the global TEMP variable in DC AC or TRAN statements When neither TEMP or TEMP is used circuit temperature is set by using OPTION TNOM The default for TNOM is 25 C unless you use OPT
376. h in meters OFF Sets initial condition to OFF for this element in DC analysis Default ON IC 2vdsval Initial internal drain source voltage vdsval and gate source voltage vgsval VDS vgsval Use this argument when the TRAN statement contains VGS UIC The IC statement overrides it M Multiplier to simulate multiple J FETs or MESFETs in parallel The M setting affects all currents capacitances and resistances Default 1 DTEMP The difference between the element temperature and the circuit temperature in degrees Celsius Default 0 0 Only drain gate and source nodes and model name fields are required Node and model names must precede other fields Example 1 In the J1 J FET element below J1 1 2 3 model 1 The drain connects to node 1 The source connects to node 2 The gate connects to node 3 model 1 references the J FET model Example 2 In the following J opamp1 J FET element Jopamp1 di g3 s2 b 1stage AREA 100u The drain connects to the d1 node The source connects to the g3 node The gate connects to the s2 node HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Active Elements 1stage references the J FET model The area is 100 microns Example 3 In the J drive J FET element below Jdrive driver in output model_jfet W 10u L 10u The drain connects to the driver node The source connects to the in node The gate connects to the output n
377. hd2a 0 dc hi default digital output model no x value model a2d u level 4 timestep 0 1ns timescale 1 sOname 0 sOvlo 1 sOvhi 2 7 s4name 1 s4vlo 1 4 s4vhi 6 0 cload 0 05pf vrefa2d vrefa2d 0 dc 0 0v end HSPICE 8 Simulation and Analysis User Guide 209 Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File See the plot in Figure 27 on page 210 In this example a 2 bit MOS adder uses a digital input file In the plot the a 0 a 1 b 0 b 1 and carry in nodes all originate from a digital file input similar to Figure 26 on page 206 HSPICE orHSPICE RF outputs a digital file Figure 27 Digital Stimulus File Input 2 Bit All NAND Gate Adder is Specifying a Digital Vector File 210 You can call a digital vector VEC file from an HSPICE netlist or from HSPICE RF A VEC file consists of three parts Vector Pattern Definition section Waveform Characteristics section Tabular Data section HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File To incorporate this information into your simulation include the VEC command in your netlist Commands in a Digital Vector File For descriptions of all commands that you can use ina VEC file see the Commands in Digital Vector Files chapter in the HSPICE Command Reference Vector Patterns The Vector Pattern Definition section defines the vectors th
378. he Verilog A module mydiode is loaded The reason is that the simulator finds the model card mydiode first which is an HSPICE built in D model not the Verilog A model the X1 statement is trying to locate Using Model Cards with Verilog A Modules The HSPICE Verilog A device supports the concept of model cards with similar usage to HSPICE standard built in devices The Verilog A module name should not conflict with the following built in device keywords In the event of a conflict HSPICE issues a warning message and ignores the module definition AMP C CORE D L NJ F NMOS NPN OPT PJF PLOT PMOS PNP R U W SP The model card type should be the same as the Verilog A module name Every Verilog A module can have one or more associated model cards Unlike built in device model cards and instances you can specify any module parameter in Verilog A model cards instance statements or inherited parameter values from module definitions Instance parameters always override model parameters If the model card includes parameters that are not predefined in its associated module file HSPICE issues a warning message ignores the definition and continues with the simulation Syntax model mname type lt pnamel gt lt pname2 gt lt pname3 gt Argument Description mname User defined model name reference Elements must use this name to refer to this model card type Model type it must be the same as Verilog A
379. hipass element statement describes a third order high pass filter with the transfer function 3 H s a s 14 254 2524 53 HSPICE amp Simulation and Analysis User Guide 167 Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements Pole Zero Function Voltage Gain H s Exxx n n POLE in in a azl fzl azn fzn b apl fpl apm fpm lt SCALE val gt lt TCl val gt lt TC2 val gt For a description of these parameters see E Element Parameters on page 173 Transconductance H s Gxxx n n POLE in in a azl fzl azn fzn b apl fpl apm fpm SCALE val lt TCl val gt lt TC2 val gt lt M val gt The following equation defines H s in terms of poles and zeros _ Ga nf aser 0 J2nf iS Oy 21 b stay J2nfy s 0 J2Af pm S Gym I27 pm The complex poles or zeros are in conjugate pairs The element description Specifies only one of them and the program includes the conjugate You can use parameters to specify the a b a and f values For a description of the G Element parameters see G Element Parameters on page 189 Example Ghigh pass 0 out POLE in 0 1 0 0 0 0 0 1 0 0 001 0 0 Elow pass out 0 POLE in O 1 0 1 0 1 0 0 0 0 5 0 1379 The Ghigh pass statement describes a high pass filter with the transfer function H s LO Gt 00 j 0 0 1 0 s 0 001 j 0 0 The Elow_pass statement describes a low pass filt
380. hms Minimum capacitance for linear matrix reduction value is the minimum capacitance preserved in the reduction After reduction completes SIM LA lumps any capacitor smaller than value to ground The default is 1e 16 farads Minimum time for which accuracy must be preserved value is the minimum switching time for which the PACT algorithm preserves accuracy HSPICE does not accurately represent waveforms that occur more rapidly than this time LA TIME is simply the dual of LA FREQ The default is 1ns equivalent to setting L FREQ 1GHz Error tolerance for the PACT algorithm value is the error tolerance for the PACT algorithm is between 0 0 and 1 0 The default is 0 05 502 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 18 RC Reduction Linear Acceleration Control Options Summary Example In this example the circuit has a typical risetime of Ins Set the maximum frequency to 1 GHz or setthe minimum switching time to 1ns OPTION LA FREQ 1GHz or OPTION LA TIME ins However if spikes occur in 0 1ns HSPICE will not accurately simulate them To capture the behavior of the spikes use OPTION LA FREQ 10GHz or OPTION LA TIME O0 1ns Note Higher frequencies smaller times increase accuracy but only up to the minimum time step used in HSPICE HSPICE 8 Simulation and Analysis User Guide 503 Y 2006 03 Chapter 18 RC Reduction Linear Acceleration Control Options Summary
381. hows how to improve efficiency accuracy and productivity in circuit simulation The adder is in the installdirldemo hspice apps mos2bit sp or installdirldemo hspicext apps mos2bit sp for HSPICE RF demonstration file It consists of two input NAND gates defined using the NAND sub circuit CMOS devices include length width and output loading parameters Descriptive names enhance the readability of this circuit One Bit Subcircuit The ONEBIT subcircuit defines the two half adders with carry in and carry out To create the two bit adder HSPICE or HSPICE RF uses two calls to ONEBIT Independent piecewise linear voltage sources provide the input stimuli The R repeat function creates complex waveforms 506 HSPICE Simulation and Analysis User Guide Y 2006 03 Figure 79 One bit Adder sub circuit Chapter 19 Running Demonstration Files Two Bit Adder Demo inl 1 X8 e 13 X5 jo in2 D3 out half1 X8 Jo 4 5 Ts TIME LIN half2 ew carry in x6 o 4l nand x9 o carry out Figure 80 Two bit Adder Circuit A 0 B 0 A 1 B 1 cary out 1 carry in One Bit One Bit carry out 2 C 0 C HSPICE 8 Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files MOS l V and C V Plotting Demo Figure 81 1 bit NAND Gate Binary Adder inl 1
382. ial derivatives of expressions in the analog block ddx expr V a absdelay implements the absolute transport delay for continuous waveform absdelay input time delay maxdelay The transition filter smooths out piecewise linear waveforms transition expr td rise time fall time time tol 11 The slew analog operator bounds the rate of change slope of the waveform slew expr max pos slew rate max neg slew rate ll The last crossing function returns a real value representing the simulation time when a signal expression last crossed zero last crossing expr direction laplace zd implements the zero denominator form of the Laplace transform filter The laplace np implements the numerator pole form of the Laplace transform filter laplace nd implements the numerator denominator form of the Laplace transform filter laplace zp implements the zero pole form of the Laplace transform filter laplace expr u v The Z transform filters implement linear discrete time filters All Z transform filters share three common arguments T t and t0 T specifies the period of the filter is mandatory and must be positive t specifies the transition time is optional and must be nonnegative zi zd implements the zero denominator form of the Z transform filter zi np implements the numerator pole form of the Z transform filter zi nd implements the numerator denominator form of the Z transform fil
383. iation Block Variation Block Example 444 HSPICE Simulation and Analysis User Guide Y 2006 03 15 Monte Carlo Analysis Describes Monte Carlo analysis in HSPICE Overview Monte Carlo analysis is the generic tool for simulating the effects of variations in device characteristics on circuit performance The variations in device characteristics are expressed as distributions on the underlying model parameters For each sample of the Monte Carlo analysis random values are assigned to these parameters and a complete simulation is executed producing one or more measurement results The series of results from a particular measurement represent a distribution which can be characterized by statistical terms for example mean value and standard deviation c With increasing number of samples the shape of the distribution gets better defined with the effect that the two quantities converge to their final values A standard way of analyzing the results is by arranging them in bins Each bin represents how many results fall into a certain range slice of the overall distribution A plot of these bins is a histogram which shows the shape of the distribution as the number of results versus slice As the number of samples increases the shape of the histogram gets smoother The ultimate interest of Monte Carlo simulation is to find out how the distribution in circuit response relates to the specification The aspect of yield is considered her
384. ich relates directly to device length Figure 108 Delay as a function of Poly width XL Monte Carla Results C xiepalycat m_delay 1 palycd o 300p 250p x Ii poly cdi Figure 109 shows the pair delay against the Tox parameter The scatter plot shows no obvious dependence which means that the effect of TOx is much smaller than xr To explore this in more detail set the XL skew parameter to a constant and run a simulation 574 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Worst Case and Monte Carlo Sweep Example Figure 109 Sensitivity of Delay with TOX Monte Carla Results 3 tox toxed 3 p m_delayttax toxcd 170 0 180 0 180 0 200 0 210 0 220 0 230 0 tox toxcd The plot in Figure 110 overlays the skew result with the ones from Monte Carlo The skew simulation traverses the design space with all parameters changing in parallel and then produces a relationship between power and delay which shows as a Single line Monte Carlo exercises a variety of independent parameter combinations and shows that there is no simple relationship between the two results Since the distributions were defined as Gaussian in the netlist parameter values close to the nominal are more often exercised than the ones far away With the relatively small number of samples the chance of hitting a combination at the extremes is very small In other words designing f
385. ify an output file HSP ICE directs output to the client terminal Use the following syntax to redirect the output to a file instead of to the terminal hspice C casename sp output file Note HSPICE RF does not support PKG and EBD simulation To Simulate a Netlist in Client Server Mode Once you have started the client server mode which automatically checks out an HSPICE license you can run simulations To simulate a netlist in client server mode enter hspice C path input file HSPICE 8 Simulation and Analysis User Guide 27 Y 2006 03 Chapter 2 Setup and Simulation Running HSPICE to Calculate New Measurements Note This mode also supports other HSPICE command line options For a description of the options shown see HSPICE Command Syntax in the HSPICE Command Reference To Quit Client Server Mode Quitting the client server mode releases the HSPICE license and exits HSPICE To exit the client server mode enter hspice C K Running HSPICE to Calculate New Measurements When you want to calculate new measurements from previous simulation results produced by HSPICE you can rerun HSPICE To Calculate New Measurements To get new measurements from a previous simulation enter hspice meas measurefile i wavefile o lt outputfile gt gt For a description of the options shown see HSPICE Command Syntax in the HSPICE Command Reference 28 HSPICE Simulation and Analysis User Guide
386. ify lower diagonal terms the simulator converts that entry to the appropriate upper diagonal term If multiple entries are supplied for the same r c location then only the first one is used and a warning will be issued indicating that some entries are ignored All diagonal entries of the reluctance matrix must be assigned a positive value The reluctance matrix should be positive definite HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements Parameter Description FILE lt filenamel gt SHORTALL IGNORE_COUPLIN For the external file format the data files should contain three columns of data Each row should contain an r c val triplet separated by white space The r c and val values may be expressions surrounded by single quotes Multiple files may be specified to allow the reluctance data to be spread over several files if necessary SHORTALL yes all inductors in this model are converted to short circuits and all reluctance matrix values are ignored SHORTALL no default inductors are not converted to short circuits and reluctance matrix values are not ignored IGNORE COUPLING yes all off diagonal terms are G ignored that is set to zero GNORE COUPLING no default off diagonal terms are not ignored Example This example has 9 segments or ports with 12 nodes and can potentially generate a 9x9 reluctance matrix with 81 elements L Thre
387. ile for non convergent elements Devices with a TOL value greater than 1 are non convergent 2 Find the devices at the beginning of the combined logic string of gates that seem to start the non convergent string 312 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Diagnosing Convergence P roblems 3 Check the operating point of these devices very closely to see what region they operate in Model parameters associated with this region are probably inappropriate Circuit simulation uses single transistor characterization to simulate a large collection of devices If a circuit fails to converge the cause can be a single transistor anywhere in the circuit PN Junctions Diodes MOSFETs BJTs PN junctions found in diode BJ T and MOSFET models might exhibit non convergent behavior in both DC and transient analysis Example PN junctions often have a high off resistance resulting in an ill conditioned matrix To overcome this use OPTION GMINDC and OPTION GMIN to automatically parallel every PN junction in a design with a conductance Non convergence can occur if you overdrive the PN junction This happens if you omit a current limiting resistor or if the resistor has a very small value In transient analysis protection diodes are often temporarily forward biased due to the inductive switching effect This overdrives the diode and can result in non convergen
388. iles graph data output file AvanWaves graph and analysis i Graphics hardcopy file Printer or plotter lt design gt lis lt design gt mt lt design gt sw design ms lt design gt ac lt design gt ma lt design gt gr lt design gt pa lt design gt st lt design gt ft lt design gt a2d HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 1 Overview Simulation Structure To simulate a design in HSPICE you do the following l To begin design entry and simulation create an input netlist file Most schematic editors and netlisters support the SPICE or HSPICE hierarchical format 2 HSPICE or HSPICE RF executes the analyses specified in the input file 3 HSPICE orHSPICE RF stores the simulation results requested in either an output listing file or if you specified OPTION POST a graph data file If you specified POST HSPICE or HSPICE RF stores the circuit solution in either steady state time or frequency domain 4 To view or plotthe results for any nodal voltage or branch current use a high resolution graphic output terminal or laser printer HSPICE provides a complete set of print and plot variables for viewing analysis results HSPICE RF supports some but not all HSPICE print variables The HSPICE or HSPICE RF programs include a textual command line interface For example to execute the program e
389. imits 244 PLOT statement 242 simulation results 244 249 pn junction conductance 313 POLE function 168 185 transconductance element statement 168 voltage gain element statement 168 pole zero conjugate pairs 168 function Laplace transform 168 185 POLY parameter 158 191 197 632 polynomial function 158 one dimensional 158 three dimensional 160 two dimensional 159 port hostname environment variable 12 POST option 9 pow x y function 229 power dissipation 256 260 function 229 output 256 stored 256 POWER keyword 257 power line inductors 87 PP keyword 271 PPWL element parameter 191 function 189 print control options 248 PRINT statement 242 simulation results 243 249 printer device specification 246 PROBE statement 242 245 249 program structure 5 PRTDEFAULT printer 246 PULSE source function 130 133 136 139 delay time 130 initial value 130 onset ramp duration 130 plateau value 130 recovery ramp duration 130 repetition period 130 width 130 PUTMEAS option 268 PWL current controlled gates 157 158 data driven 142 element parameter 182 191 197 functions 158 162 gates 157 output values 139 parameters 139 repeat parameter 139 segment time values 139 simulation time 337 sources data driven 142 voltage controlled capacitors 157 voltage controlled gates 157 See also data driven PWL source pwr x y function 229 PZ statement 296 Q quality assurance 544 R R Element resistor 68
390. imulation Output Element Template Listings 284 Table 38 MOSFET Continued Name Alias Description BETAEFF LV21 BETA effective GAMMAEFF LV22 GAMMA effective DELTAL LV23 AL MOS 6 amountof channel length modulation valid only for LEVELs 1 2 3 and 6 UBEFF LV24 UB effective valid only for LEVELs 1 2 3 and 6 VG LV25 VG drive valid only for LEVELs 1 2 3 and 6 VFBEFF LV26 VFB effective LV31 Drain current tolerance not used in HSPICE releases after 95 3 IDSTOL LV32 Source diode current tolerance IDDTOL LV33 Drain diode current tolerance COVLGS LV36 Gate source overlap capacitance COVLGD LV37 Gate drain overlap capacitance COVLGB LV38 Gate bulk overlap capacitance VBS LX1 Bulk source voltage VBS VGS LX2 Gate source voltage VGS VDS LX3 Drain source voltage VDS CDO LX4 DC drain current CDO CBSO LX5 DC source bulk diode current CBSO CBDO LX6 DC drain bulk diode current CBDO GMO LX7 DC gate transconductance GMO GDSO LX8 DC drain source conductance GDSO HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Element Template Listings Table 38 MOSFET Continued Name Alias Description GMBSO LX9 DC substrate transconductance GMBSO GBDO LX10 Conductance of the drain diode GBDO GBSO LX11 Conductance of the source diode GBSO Meyer and Charge Conservation Model Parameters QB LX12 Bulk charge QB CQB LX13 Bulk cha
391. imulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements 4 Elements Describes the syntax for the basic elements of a circuit netlist in HSPICE or HSPICE HF Elements are local and sometimes customized instances of a device model specified in your design netlist For descriptions of the standard device models on which elements instances are based see the HSPICE Elements and Device Models Manual and theHSPICE MOSFET Models Manual Passive Elements This section describes the passive elements resistors capacitors and inductors Values for Elements HSPICE RF accepts equation based resistors and capacitors You can specify the value of a resistor or capacitor as an arbitrary equation involving node voltages or variable parameters Unlike HSPICE you cannot use parameters to indirectly reference node voltages in HSPICE RF HSPICE 8 Simulation and Analysis User Guide 65 Y 2006 03 Chapter 4 Elements Passive Elements Resistor Elements in a HSPICE or HSPICE RF Netlist Rxxx ni n2 mname Rval TC1 lt TC2 gt lt TC gt gt SCALE val M val AC val DTEMP val L val W val lt C val gt NOISE val Rxxx ni n2 mname lt R gt resistance lt lt TC1l gt val gt lt lt TC2 gt val gt lt lt TC gt val gt lt SCALE val gt lt M val gt lt AC val gt lt DTEMP val gt lt L val gt lt W val gt lt C val gt lt NOISE val gt Rxxx n1 n2 R equation
392. imulation and Analysis User Guide 137 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions 138 Figure 18 Exponential Source Function Ex porentia Source 00 20n 40n 60n 80n 100n 120n 1406 160n 180n 200n Qs This example is based on demonstration netlist exp sp which is available in directory lt installdir gt demo hspice sources file exp spexponential independant source options post param v0 4 va 1 tdi1 5n taul 30n tau2 40n td2 80n v 10 exp v0 va tdl taul td2 tau2 yio 1 tran 05n 200n end This example shows an entire netlist which contains an ExP voltage source In this source Initial t O voltage is 4 V Final voltage is 1 V HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Waveform rises exponentially from 4 V to 1 V with a time constant of 30 ns m At80ns the waveform starts dropping to 4 V again with a time constant of 40 ns Piecewise Linear Source HSPICE or HSPICE RF provides a piecewise linear source function in an independent voltage or current source General Form Vxxx n n PWL ti vil t2 v2 t3 v3 R lt repeat gt gt TD delay lt gt Ixxx n n PWL lt gt t1 v1 t2 v2 t3 v3 R lt repeat gt gt TD delay lt gt MSINC and ASPEC Form Vxxx n n PL lt gt vil ti v2 t2 v3 t3 R lt repeat gt gt TD delay lt g
393. inear and the coefficients are PO P1 P2 see Polynomial Functions on page 158 Keyword for the polynomial dimension function If you do not specify POLY ndim HSPICE assumes thatitis a one dimensional polynomial Ndim must be a positive number Keyword for the piecewise linear function Models symmetrical bidirectional switch transfer gate PMOS Multiplier for the element value For piecewise linear dependent source elements SMOOTH selects the curve smoothing method A curve smoothing method simulates exact data points that you provide You can use this method to make HSPICE or HSPICE RF simulate specific data points which correspond to either measured data or data sheets Choices for SMOOTH are 1 or 2 Selects the smoothing method used in Hspice versions before release H93A Use this method to maintain compatibility with simulations that you ran using releases older than H93A Selects the smoothing method which uses data points that you provide This is the default for Hspice versions starting with release H93A HSPICE amp Simulation and Analysis User Guide 191 Y 2006 03 Chapter 5 Sources and Stimuli Voltage Dependent Current Sources G Elements 192 Parameter Description TC1 TC2 First order and second order temperature coefficients Temperature changes update the SCALE SCALEeff SCALE 1 TC1 At TC2 At TD Keyword for the time propagation delay transconductance Voltage to current c
394. ing Multithreading HSPICE Simulations To Run a Command File in Interactive Mode To run the command you have saved in a command file enter hspice I L filename To Quit Interactive Mode To exit the interactive mode and return to the system prompt enter HSPICE quit Running Multithreading HSPICE Simulations 24 HSPICE simulations include device model evaluations and matrix solutions You can run model evaluations concurrently on multiple CPUs by using multithreading to significantly improve simulation performance Model evaluation dominates most of the time To determine how much time HSPICE spends evaluating models and solving matrices specify OPTION ACCT 2 in the netlist By using multithreading you can speed up simulations with no loss of accuracy Multithreading gives the best results for circuit designs that contain many MOSFET J FET diode or BJ T models in the netlist To Run Multithreading To run multithreading on UNIX platforms enter hspice mt number i lt input_file gt o lt output_file gt To run multithreading on Windows platforms enter hspice_mt exe mt number i lt input_file gt o lt output_file gt If you omit the number parameter an error message results You must include this parameter fyou specify a number parameter thatis larger than the number of available CPUs then HSPICE sets the number of threads equal to the number of available CPUs For additional information a
395. ing these parameters to define values of model parameters With this approach the netlist does not have to be parameterized The modmonte option can be used to distinguish between global variations all devices of a particular model have the same parameter set or local variations every device has a unique random value for the specified parameters test10 sp shows a simple case where the model parameter for sheet resistivity is assigned a distribution defined on the parameter rsheet The results show that all resistors have the same value for each Monte Carlo sample but a different one for different samples This setup is useful for studying global variations testll sphas option modmonte 1 added Now every resistor has a different value Note that option modmonte has no effect on any other approach presented here In summary assigning parameters with specified distributions to model parameters allows for investigating the effects of global or local variations but not both The possibility of selecting one or the other with a simple option is misleading in the sense that the underlying definitions for global and local variations are not the same for a realistic semiconductor technology HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Simulating the Effects of Global and Local Variations with Monte Carlo Variations Specified Using DEV and LOT The two limitations of the approach describ
396. inition for all instantiations of cell vco Example 2 option vamodel vco vamodel chargepump This example instructs HSPICE to use Verilog A definition for all instantiations of cell vco and cell chargepump HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices Example 3 option vamodel This example instructs HSPICE to always use the Verilog A definition whenever itis available Command line Option Syntax vamodel name vamodel lt name2 gt This command line option is not supported in HSPICE RF The name is the cell name that uses a Verilog A definition rather than subcircuit when both are exist Each command line vamodel option can take no more than one name Repeat vamodel if multiple Verilog A modules are defined If no name after vamodel is supplied then in any case the Verilog A definition whenever it is available overrides the subcircuit The following examples show various ways to setthe option and the resulting HSPICE behavior Example 1 hspice pll sp vamodel vco This example instructs HSPICE to use Verilog A definition for all instantiations of cell vco Example 2 hspice pll sp vamodel vco vamodel chargepump This example instructs HSPICE to use Verilog A definition for all instantiations of cell vco and cell chargepump Example 3 hspice pll sp vamodel This example instructs HSPICE to always use a Verilog A definition whene
397. input impedance matches the minimum noise figure and the output matches the maximum gain G AS Is the associated gain maximum power gain at NFMIN real power ratio Output Format for Group Delay in sc Files All of the S Y Z H parameters support a group delay calculation The output syntax of PRINT and PROBE Statement for group delay is Xmn T Xmn TD X m n T X m n TD X 5S Y Z orH m n port number 1 or 2 for H parameter The output of group delay matrices in sc files lets HSPICE directly read back the group delay information the tabulated data uses the regular HSPICE model syntax with the SP keyword group delay parameters MODEL SMODEL GD SP N 2 SPACING POI INTERPOLATION LINEAR MATRIX NONSYMMETRIC VALTYPE REAL DATA 3 1e 08 0 5e 09 5e 09 0 data model name is the model name of the S parameters plus GD GROUPDELAY 0 1 in the top line indicates group delay data N 2 DATA 3 NOISE 0 GROUPDELAY 1 NumOfBlock 1 NumOfParam 0 Output Format for Two Port Noise Parameters in sc Files Output of two port noise parameter data in sc files shows the tabulated data with the following quantities in the following order 2 port noise parameters frequency Fmin dB GammaOpt M GammaOpt P RN ZO amp data HSPICE Simulation and Analysis User Guide 363 Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis 364 In this syntax
398. int HSPICE RF does not output node voltage from operating point OP if time t lt 0 Transient analysis releases the initialized nodes to calculate the second and later time points NODESET initializes all specified nodal voltages for DC operating point analysis Use the NODESET statement to correct convergence problems in DC analysis If you set the node values in the circuit close to the actual DC operating point solution you enhance convergence of the simulation The HSPICE or HSPICE RF simulator uses the NODESET voltages only in the first iteration HSPICE 8 Simulation and Analysis User Guide 293 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis DC Initialization and Operating Point Calculation 294 SAVE and LOAD Statements HSPICE saves the operating point unless you use the SAVE LEVEL NONE Statement HSPICE restores the saved operating point file only if the input file contains a LOAD statement The SAVE statement in HSPICE stores the operating point of a circuit in a file that you specify HSPICE RF does notsupportthe SAVE statement For quick DC convergence in subsequent simulations use the LOAD statement to input the contents of this file HSPICE saves the operating point by default even if the HSPICE input file does not contain a SAVE statement To not save the operating point specify SAVE LEVEL NONE A parameter or temperature sweep saves only the first operating point Note HSPICE R
399. inue transient analysis until transients settle out then specify the op time to obtain an operating point during the transient analysis To specify an AC analysis during the transient analysis add an Ac statement to the op time statement SCALE and SCALM scaling options have a significant effect on parameter values in both elements and models Be careful with units HSPICE 8 Simulation and Analysis User Guide 305 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Reducing DC Errors Shorted Element Nodes HSPICE or HSPICE RF disregards any capacitor resistor inductor diode BJ T or MOSFET if all of its leads connect together Simulation does not count the component in its component tally and issues a warning warning all nodes of element x name are connected together Inserting Conductance Using DCSTEP In a DC operating point analysis failure to include conductances in a capacitor model results in broken circuit loops because a DC analysis opens all capacitors This might not be solvable If you include a small conductance in the capacitor model the circuit loops are complete and HSPICE or HSPICE RF can solve them Modeling capacitors as complete opens can result in this error No DC Path to Ground Fora DC analysis use OPTION DCSTEP to assign a conductance value to all capacitors in the circuit DCSTEP calculates the value as conductance capacitance DCSTEP In Figure 39 on page 30
400. ion When specifying variations on these parameters the effects of local variations between subcircuits are created If this method is used atthe extreme with one device per subcircuit then each device has its own model This approach leads to a substantial overhead in the simulator and is therefore not recommended Indirect Variations on a Model Parameter In sections Variations S pecified on Geometrical Instance Parameters and Variations Specified in the Context of Subcircuits variations on geometrical parameters were presented If we wantto specify variations on a model parameter for example the threshold of a MOS device then the approach explained in the previous section with one model per device in a subcircuit could be used However this is impractical because the netlist needs to be created to call each device as a subcircuit and because of the overhead Since variations are of interest only on a few model parameters an indirect method of varying model parameters can be used Some special instance parameters are available for this purpose For example for MOS devices the parameter delvtO defines a shift in threshold Referencing a parameter with a distribution as value for delvtO creates the effect of local threshold variations A significant number of parameters of this type are available in HSPICE for BSIM3 and BSIM4 models The variations can be tailored for each device depending on its size for example A disadvantage of this
401. ion Output Note that options for the previous Monte Carlo style are ignored when simulations based on the variation block are executed Simulation Output The output listing file contains a summary of the names of the models and model parameters as well as the elements and element parameters that are Subject to global or local variations For each sample the measured results are printed Towards the end the statistics for the measured data are shown Partial printout of an output listing MONTE CARLO DEFINITIONS Global variations model parameter snps20n vthO snps20n ud Local variations model parameter snps20n vthO snps20n u0 Element variations element parameter r1 r monte carlo index DREF systoffset 1 0857E 03 monte carlo index DORRE systoffset 2 6826E 04 MONTE CARLO STATISTICS meas_variable systoffset mean 1 0124m varian 504 8187n sigma 710 5059u avgdev 523 4913u max 2 2942m min 268 2590u Measure commands cause simulation results to be saved for each sample along with its index number Depending on the analysis type the name of the result file has an extension of ms ma or mt where the denotes the regular sequence number for HSPICE output files In addition the changes in all parameter values subject to variation are saved in a file with an extension of mcs mca or mct depending on the analysis type HSPICE 8 Simulation and Analysis User Guide 451 Y 2006 03 Cha
402. ion and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Specifying User Defined Analysis MEASURE HSPICE or HSPICE RF does not print out each calculated ERR1 value When you set the ERR1 option HSPICE or HSPICE RF calculates an ERR value as follows r NPTS 2 SUE ee 2 ERR ems Y ERR k i l J ERR2 This option computes the absolute relative error at each point For NPTS points HSPICE or HSPICE RF calls NPTS error functions uc uh TE edem LNP TS max MINVAL M ERR2 The returned value printed for ERR2 is NPTS 1 ERR 575 J ERR2 i l ERR3 log ERR3 SS ENP TS log max MINVAL M The and signs correspond to a positive and negative m c ratio Note If the M measured value is less than MINVAL HSPICE or HSPICE RF uses MINVAL instead If the absolute value of M is less than the IGNOR or YMIN value or greater than the ymax value the error calculation does not consider this point HSPICE 8 Simulation and Analysis User Guide 213 Y 2006 03 Chapter 7 Simulation Output Reusing Simulation Output as Input Stimuli Reusing Simulation Output as Input Stimuli You can use the STIM statement to reuse the results output of one simulation as input stimuli in a new simulation Note STIM S an abbreviation of STIMULI You can use either form to specify this statement in HSPICE HSPICE RF does not support this statement The STIM statement specifies
403. ion and Analysis User Guide 187 Y 2006 03 Chapter 5 Sources and Stimuli Voltage Dependent Current Sources G Elements 188 For a description of the G Element parameters see G Element Parameters on page 189 Piecewise Linear PWL GXXX n n VCR PWL 1 in in DELTA val lt SCALE val gt M val lt TCl val gt TC2 val x1 y1 x2 y2 x100 y100 IC val lt SMOOTH val gt Gxxx n n VCR NPWL 1 in in lt DELTA val gt lt SCALE val gt M val lt TCl val gt lt TC2 val gt x1 y1 x2 y2 x100 y100 IC val lt SMOOTH val gt Gxxx n n VCR PPWL 1 in in lt DELTA val gt lt SCALE val gt M val lt TCl val gt lt TC2 val gt x1 y1 x2 y2 x100 y100 IC val lt SMOOTH val gt For a description of the G Element parameters see G Element Parameters on page 189 Multi Input Gates GXXX n n VCR gatetype k inl inl ink ink lt DELTA val gt lt TCl val gt lt TC2 val gt lt SCALE val gt lt M val gt x1 y1 x100 y100 lt IC val gt For a description of the G Element parameters see G Element Parameters on page 189 Voltage Controlled Capacitor VCCAP Gxxx n n VCCAP PWL 1 in in lt DELTA val gt SCALE val lt M val gt lt TCl val gt lt TC2 val gt xl yl x2 y2 x100 y100 IC val lt SMOOTH val gt HSPICE or HSPICE RF uses either LEVEL 2 NPWL or LEVEL 3 PPWL based on the relationship of the n n and in in nodes For a descrip
404. ion uses two distributions m Minor element distribution of cell capacitance from cell to cell on a single die Major model distribution of the capacitance from wafer to wafer or from manufacturing run to run HSPICE Simulation and Analysis User Guide Y 2006 03 Figure 103 Monte Carlo Distribution Appendix A Statistical Analysis Monte Carlo Analysis Cap to cap element Sie Cla Clb Cla C1b Cld Clc C1d S run to run model You can approach this problem from physical or electrical levels The physical level relies on physical distributions such as oxide thickness and polysilicon line width control The electrical level relies on actual capacitor measurements Physical Approach l Since oxide thickness control is excellent for small areas on a single wafer you can use a local variation in polysilicon to control the variation in capacitance for adjacent cells 2 Next define a local poly line width variation and a global model level poly line width variation In this example The local polysilicon line width control for a line 10 m wide manufactured with process A is 0 02 m for a 1 sigma distribution e The global model level polysilicon line width control is much wider use 0 1 m for this example 3 The global oxide thickness is 200 angstroms with a 5 angstrom variation at 1
405. ional equation function of three controlling variables Each polynomial equation includes polynomial coefficient parameters PO P1 Pn which you can setto explicitly define the equation One Dimensional Function If the function is one dimensional a function of one branch current or node voltage the following expression determines the rv function value FV PO P1 FA P2 FA2 P3 FA P4 FA P5 FAS Parameter Description FV Controlled voltage or current from the controlled source HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage and Current Controlled Elements PO PN Coefficients of a polynomial equation FA Controlling branch current or nodal voltage Note If you specify one coefficient in a one dimensional polynomial HSPICE or HSPICE RF assumes that the coefficient is P 1 PO 0 0 Use this as input for linear controlled sources The following controlled source statement is a one dimensional function This voltage controlled voltage source connects to nodes 5 and 0 E15 0 POLY 1 3 21 2 5 In the above source statement the single dimension polynomial function parameter POLY 1 informs HSPICE or HSPICE RF that E1 is a function of the difference of one nodal voltage pair In this example the voltage difference is between nodes 3 and 2 so FA V 3 2 The dependent source statement then specifies that PO 1 and P1 2 5 F
406. ions 62 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Subcircuit Call Statement Discrete Device Libraries Figure 12 Vendor Library Usage l usr lib vendor buffer_f inc Jusr lib vendor skew dat macro buffer fin out vdd vss JAlib usr lib vendor skew dat ff inc usr lib vendor buffer inc eom lib ff fast model param vendor xI 1u inc usr lib vendor model dat endl ff Subcircuit Library Structure Your library structure must adhere to the INCLUDE statement specification in the implicit subcircuit You can use this statement to specify the directory that contains the subname inc subcircuit file and then reference the subname in each subcircuit call The component naming conventions for each subcircuit is lt subname gt inc Store the subcircuit in a directory that is accessible by a OPTION SEARCH lib path statement Create subcircuit libraries in a hierarchy Typically the top level subcircuit fully describes the input output buffer any hierarchy is buried inside The buried hierarchy can include model statements lower level components and parameter assignments Your library cannot use LIB or INCLUDE statements anywhere in the hierarchy HSPICE 8 Simulation and Analysis User Guide 63 Y 2006 03 Chapter 3 Input Netlist and Data Entry S ubcircuit Call Statement Discrete Device Libraries 64 HSPICE S
407. ions on Verilog A Module Names Overriding Subcircuits with Verilog A Modules Netlist Option 0 0 cee eee Command line Option 000 Disabling OPTION vamodel with OPTION spmodel Using Vector Buses or Ports 0 cee eee Using Integer Parameters 0c cece Implicit Parameter M Support 00 Module and Parameter Name Case Sensitivity Module NamesS 0 0 cc cece n nh Module Parameters ccc cece eee Output Simulation Data 0000008 V and I Access Functions 0004 Output Bus Signals 0 0 Output Internal Module Variables HSPICE only Output Module Parameters HSPICE only Case Sensitivity in Simulation Data Output Using Wildcards in Verilog A HSPICE only Port Probing and Branch Current Reporting Conventions Unsupported Output Function Features Using the Stand alone Compiler 000 Setting Environment Option for HSPICE Verilog A Compiler 401 402 403 403 403 404 404 405 406 406 407 407 408 409 409 410 412 412 412 413 413 414 415 415 415 415 416 416 417 418 419 419 419 420 421 421 421 422 13 14 15 Contents The Compiled Model Library Cache 0 00 eee eee Cache Location iioc e ERES WE eke Cu xGOUR EN Deleting the Cach e 0 0 ects Unsupported Language
408. ircuits This statement assigns a common node name to subcircuit nodes Another common use of GLOBAL statements is to assign power supply connections of all subcircuits For example GLOBAL vcc connects all subcircuits with the internal node name vcc Ordinarily in a subcircuit the node name consists of the circuit number concatenated to the node name When you use a GLOBAL statement HSPICE or HSPICE RF does not concatenate the node name with the circuit number and assigns only the global name You can then exclude the power node name in the subcircuit or macro call Circuit Temperature To specify the circuit temperature for a HSPICE or HSPICE RF simulation use the TEMP statement or the TEMP parameter in the DC AC and TRAN Statements HSPICE compares the circuit simulation temperature against the reference temperature in the TNOM control option HSPICE or HSPICE RF uses the difference between the circuit simulation temperature and the TNOM reference temperature to define derating factors for component values In HSPICE RF you can use multiple TEMP statements to specify multiple temperatures for different portions of the circuit HSPICE permits only one temperature for the entire circuit Multiple TEMP statements in a circuit behave as a sweep function Data Driven Analysis In data driven analysis you can modify any number of parameters then use the new parameter values to perform an operating point DC AC or tr
409. irectories For example if you store an input or output buffer subcircuit in a file named iobuf inc you can create three copies of the file to simulate fast slow and typical corner cases Each file contains different HSPICE transistor models representing the different process corners Store these files all named iobuf inc in separate directories Defining Parameters The PARAM statement defines parameters Parameters in HSPICE or HSPICE RF are names that have associated numeric values You can also use either of the following specialized methods to define parameters Predefined Analysis Measurement Parameters Predefined Analysis HSPICE or HSPICE RF provides several specialized analysis types which require a way to control the analysis For the syntax used in these PARAM commands see the description of the PARAM command in the HSPICE Command Reference HSPICE or HSPICE RF supports the following predefined analysis parameters Temperature functions fn m Optimization guess range HSPICE also supports the following predefined parameter types that HSPICE RF does not support frequency time Monte Carlo functions HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition Measurement Parameters A MEASURE statement produces a measurement parameter In general the rules for measurement parameters are the same as those for standard parame
410. is DC TRAN AC and so on SAVE and LOAD DATA TEMP HSPICE 8 Simulation and Analysis User Guide Y 2006 03 Initial values in circuit and subcircuit Set parameter values in the netlist Set node name globally in netlist Sets input stimuli I or V element Circuit for simulation S ubcircuit definitions Statements to perform analyses Save and load operating point information Create table for data driven analysis Set temperature analysis 37 Chapter 3 Input Netlist and Data Entry Input Netlist File Guidelines Table6 Input Netlist File Sections Continued Sections Examples Definition Output PRINT PLOT GRAPH Statements to output variables PROBE MEASURE Statement to evaluate and report user defined functions of a circuit Library INCLUDE General include files Model and File Inclusion MALIAS Assigns an alias to a diode BJ T JFET or MOSFET MODEL Element model descriptions LIB Library OPTION SEARCH Search path for libraries and included files PROTECT and UNPROTECT Control printback to output listing Alter blocks ALIAS ALTER DEL LIB Renames a previous model Sequence for in line case analysis Removes previous library selection End of END Required statement end of netlist netlist 38 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition Input Netlist File Composition The HSPICE and HSPICE
411. is Unit Description nd rd y2 Output thermal noise due to drain resistor Hz ns rs y2 Output thermal noise due to source resistor Hz ni id v Output channel thermal noise Hz nf fn v Output flicker noise Hz ntg total ye Total output noise Hz TOT RD RS ID FN Using SAMPLE for Noise Folding Analysis For data acquisition of analog signals data sampling noise often needs to be analyzed This is accomplished with the SAMPLE statement used in conjunction with the NOISE and Ac statements The SAMPLE analysis performs a simple noise folding analysis at the output node For the syntax and description of the SAMPLE statement see the SAMPLE command in the HSPICE Command Heference HSPICE Simulation and Analysis User Guide Y 2006 03 11 Linear Network Parameter Analysis Describes how to perform an AC sweep to extract small signal linear network parameters The chapter covers LIN analysis RF measurements from LIN extracting mixed mode S scattering parameters and NET parameter analysis For descriptions of individual HSPICE commands referenced in this chapter see the HSPICE Command Reference LIN Analysis The LIN command extracts noise and linear transfer parameters for a general multi port network When used with the Ac command LIN makes available a broad set of linear port wise measurements Multi port scattering S parameters Noise parameters Stability factors Gain factors
412. is ora family of curve plots for DC AC and transient analysis Use MEASURE Statements to save results for delay times power or any other characteristic extracted ina MEASURE statement HSPICE generates a table of results in an mt file in ASCII format You can analyze the numbers directly or read this file into CosmosScope to view the distributions Also if you use MEASURE Statements in a Monte Carlo or data driven analysis then the HSPICE output file includes the following statistical results in the listing XiX t tX Mean N x Mean x Mean Varian ariance Wal Sigma 4 Variance Ix Mean x Mean Average Deviation NLI Simulating Circuit and Model Temperatures Temperature affects all electrical circuits Figure 93 shows the key temperature parameters associated with circuit simulation Modelreference temperature you can model different models at different temperatures Each model has a TREF temperature reference parameter Element junction temperature each resistor transistor or other element generates heat so an element is hotter than the ambient temperature HSPICE 8 Simulation and Analysis User Guide 545 Y 2006 03 Appendix A Statistical Analysis Simulating Circuit and Model Temperatures 546 Part temperature at the system level each part has its own temperature m System temperature a collection of parts form a system which has a local temperature
413. is tnom 25 000 temp 25 000 falltime 3 9149E 08 targ 7 1916E 08 trig 3 2767E 08 HSPICE 98 4 981215 13 58 43 01 08 1999 solaris example hspice netlist using a linear cmos amplifier transient analysis tnom 25 000 temp 25 000 xxx parameter analog voltage 2 000E 00 node voltage node voltage node voltage 0 clamp 2 6200 O in 0 O infilt 2 6200 0 inviout 2 6200 O inv2out 2 6199 0 vdd 5 0000 KKKKKK example hspice netlist using a linear cmos amplifier transient analysis tnom 25 000 temp 25 000 falltime 1 5645E 08 targ 5 7994E 08 trig 4 2348E 08 HSPICE Simulation and Analysis User Guide 591 Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using AvanWaves 592 PEA OHS PL CH 98 4 981215 13 58 43 01 08 1999 solaris example hspice netlist using a linear cmos amplifier transient analysis tnom 25 000 temp 25 000 xxx parameter analog voltage 3 000E 00 node voltage node voltage node voltage 0 clamp 2 6200 O in 70 O infilt 2 6200 0 inviout 2 6200 O inv2out 2 6199 0 vdd 5 0000 KKKKKK example hspice netlist using a linear cmos amplifier transient analysis tnom 25 000 temp 25 000 falltime 1 1917E 08 targ 5 6075E 08 trig 4 4158E 08 HSPICE 98 4 981215 13 58 43 01 08 1999 solaris example hspice netlist using a linear cmos amplifier transie
414. its HSPICE or HSPICE RF expects only the first vector to be high and all others low At 35 time units HSPICE or HSPICE RF expects the output of the first two vectors to be don t care it expects vectors 3 and 4 to be low Verilog Value Format HSPICE or HSPICE RF accepts Verilog sized formatto specify numbers for example size base format number Where size specifies the number of bits in decimal format base format indicates binary b or B e octal o or O e hexadecimal h or H number values are combinations of the 0 1 2 3 4 5 6 7 8 9 A B C D E and Fcharacters Depending on what base format you choose only a subset of these characters might be legal You can also use unknown values X and high impedance Z in the number field An X or Z sets four bits in the hexadecimal base three bits in the octal base or one bit in the binary base If the most significant bit of a number is 0 X or Z HSPICE or HSPICE RF automatically extends the number if necessary to fill the remaining bits with 0 X or Z respectively If the most significant bitis 1 HSPICE or HSPICE RF uses 0 to extend it HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector F ile For example 4 b1111 12 hABx 32 bZ 8 h1 This example specifies values for e 4 bitsignal in binary e 12 bit signal in hexadecimal e 32 bit sig
415. ittance for noise complex Siemens GAMMA_OPT Optimum source reflection coefficient complex unit less NFMIN Noise figure minimum source at Zopt real unit less power ratio NF Noise figure value obtained with source impedance at Z01 real unit less power ratio G AS Associated gain maximum power gain at NF MIN real power ratio HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis RF Measurements From LIN Two Port Transfer and Noise Measurements S everal of the output parameter measurements are assumed to be for two port networks When the network has 3 or more ports the measurements are still carried out by assuming that port 1 is the input and port 2 is the output All other ports are assumed terminated in their noiseless characteristic z0 impedances Note thatthis assumption is equivalent to operating on the two port S sub matrix extracted from the multi port S matrix This is true for both signal and noise calculations A warning message is issued in cases where N22 when two port quantities are requested Signal and noise port wise calculations do not require that port elements use a ground reference Measurements are therefore possible for example for fully differential circuits Since Zopt and Yopt can commonly take on infinite values when computing optimum noise conditions calculations for optimum noise loading is performed in terms of the reflection c
416. ker Timing Annotator TopoP lace TopoR oute Trace On Demand True Hspice TSUPREM 4 TymeWare VCS Express VCSi Venus Verification Portal VFormal VHDL Compiler VHDL System Simulator VirSim and VMC are trademarks of Synopsys Inc Service Marks sv MAP in SVP Caf and TAP in are service marks of Synopsys Inc SystemC is a trademark of the Open SystemC Initiative and is used under license ARM and AMBA are registered trademarks of ARM Limited All other product or company names may be trademarks of their respective owners Printed in the U S A HSPICE Simulation and Analysis User Guide Y 2006 03 ii HSPICE Simulation and Analysis User Guide Y 2006 03 Contents Inside This Manual ike RR ERE RR EET xxiii The HSPICE Documentation Set l a anaa ee XXV Other Related Publications 0 0 ee xxvi Conventions xccxebke aun das EI EX X ET RAP RNG V ee AEG EE xxvii Customer Support vov ka px EE E UY EIE eee oe EYES xxviii OverVieW soia t eii eh nta hae ate ae ale Gee Bee wo fees 1 HSPIGE Varieties onsec spe Ser aS e adhe wate ated ee Oh ME YT 2 Features ici RR EIS ane een ae EUN ERR ORA ERR ERG P EREEREER A 3 HSPICE Features for Running Higher Level Simulations 5 Simulation Structure ass ve aie es Ee s exe E Ee ak 5 Experimental Methods Supported by HSPICE sssssssse 5 HSPICE Data Flow ws isi x RR e DRE AA 7 Simulation Process Overview ssssslsse eene 9 Setup and Sim
417. l ee ea 1 0 1 50 2 0 2 5 3 0 3 5 4 0 4 50 5 0 YOS LIN oo MLLSOPT SV1 IM 2 4 0M z IO 3 0M 2 0M j i 4 j 250 0M 1 0 vos LIN 0 5 0 RC Network Optimization The following example optimizes the power dissipation and time constant for an RC network The circuit is a parallel resistor and capacitor Design targets are 1s time constant 50 mW rms power dissipation through the resistor The HSPICE strategy is ARCl MEASURECalculates the RC time constant where the GOAL of 3679 V corresponds to 1 s time constant e rc RC2 MEASURE calculates the rms power where the GOAL is 50 mW OPT identifies Rx and Cx as optimization parameters and sets their starting minimum and maximum values HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview Network optimization uses these HSPICE features Measure voltages and report times that are subject to a goal Measure device power dissipation subjectto a goal Measure statements replace the tabular or plot output Parameters used as element values Parameter optimizing function Transient analysis with SWEEP optimizing Example This example is based on demonstration netlist rcopt sp which is available in directory lt installdir gt demo hspice ciropt file rcopt sp optimize the power dissapation and time constant of an rc network optimize to the goals of 1sec time constant and 50m
418. l in the top level circuit so this method can still make unwanted changes to library values without notification from the HSPICE simulator Table 20 compares the three primary methods for configuring libraries to achieve required parameter checking for default MOS transistor widths Table 20 Methods for Configuring Libraries Parameter Method Location Pros Cons Local Ona SUBCKT Protects library from global You cannot use it with versions definition line circuit parameter definitions of HSPICE before Release unless you override it Single 95 1 location for default values Global Atthe globallevel Works with older HSPICE An indiscreet user another andon SUBCKT versions vendor assignment or the definition lines intervening hierarchy can change the library Cannot override a global value ata lower level 236 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 6 Parameters and Functions Parameter Scoping and Passing Table 20 Methods for Configuring Libraries Continued Parameter Method Location Pros Cons Special OPTION DEFW Simple to do Third party libraries or other statement sections of the design might depend on OPTION DEFW String Parameter HSPICE uses a special delimiter to identify string and double parameter types The single quotes double quotes or curly brackets do not work for these kinds of delimiters Instead use the sp1 str string keyword f
419. l variation lt multiplier PARAM xx AUNIF nominal val abs variation multiplier PARAM xx GAUSS nominal val rel variation sigma multiplier PARAM xx AGAUSS nominal val abs variation sigma multiplier PARAM xx LIMIT nominal val abs variation Argument XX UNIF AUNIF GAUSS AGAUSS LIMIT nominal val abs variation rel variation sigma Description Distribution function calculates the value of this parameter Uniform distribution function by using relative variation Uniform distribution function by using absolute variation Gaussian distribution function by using relative variation Gaussian distribution function by using absolute variation Random limit distribution function by using absolute variation Adds abs variation to nominal val based on whether the random outcome of a 1 to 1 distribution is greater than or less than 0 Nominal value in Monte Carlo analysis and default value in all other analyses AUNIF and AGAUSS vary the nominal val by abs variation UNIF and GAUSS vary the nominal val by nominal val rel variation Specifies abs variation or rel variation at the sigma level For example if sigma 3 then the standard deviation is abs variation divided by 3 HSPICE 8 Simulation and Analysis User Guide 557 Appendix A Statistical Analysis Monte Carlo Analysis Argument Description multiplier If you do not specify a multiplier the d
420. l yl x2 y2 x100 y100 lt IC val gt lt SMOOTH val gt Gxxx n n lt VCCS gt PPWL 1 in in lt DELTA val gt lt SCALE val gt lt M val gt lt TCl val gt lt TC2 val gt xl yl x2 y2 x100 y100 lt IC val gt lt SMOOTH val gt For a description of the G Element parameters see G Element Parameters on page 189 Multi Input Gate GXXX n n VCCS gatetype k inl inl ink ink lt DELTA val gt lt TCl val gt lt TC2 val gt lt SCALE val gt M val xl yl x100 y100 IC val In this syntax gatetype k can be AND NAND OR or NOR gates Fora description of the G Element parameters see G Element Parameters on page 189 Delay Element Gxxx n n VCCS DELAY in in TD val lt SCALE val gt TCl val TC2 val NPDELAY val For a description of the G Element parameters see G Element Parameters on page 189 Laplace Transform For details see Laplace Transform on page 167 Pole Zero Function For details see Pole Zero Function on page 168 Frequency Response Table For details see Frequency Response Table on page 169 HSPICE amp Simulation and Analysis User Guide 185 Y 2006 03 Chapter 5 Sources and Stimuli Voltage Dependent Current Sources G Elements 186 Foster Pole Residue Form For details see Foster Pole Residue Form on page 170 Behavioral Current Source Noise Model Expression form gxxx nodel node2 noise noise expression The xxx para
421. lation capabilities have been implemented in HSPICE RF and HSPICE RF offers some new capabilities that are not in available in traditional HSP ICE HSPICE RF usually produces results at the desired level of accuracy in a shorter time than HSPICE requires for the same level of accuracy HSPICE RF can also perform HSPICE simulations of radio frequency RF devices which HSPICE does not support This guide describes all of the features that HSPICE supports HSPICE RF supports some but not all of these features as well For descriptions of HSPICE RF features and a list of the differences between HSPICE and HSPICE RF see the HSPICE RF Features and Functionality chapter in the HSPICE RF User Guide 2 HSPICE Simulation and Analysis User Guide Y 2006 03 Features Chapter 1 Overview Features Synopsys HSPICE or HSPICE RF is compatible with most SPICE variations and has the following additional features Superior convergence Accurate modeling including many foundry models Hierarchical node naming and reference Circuit optimization for models and cells with incremental or simultaneous multiparameter optimizations in AC DC and transient simulations Interpreted Monte Carlo and worst case design support Input output and behavioral algebraics for cells with parameters Cell characterization tools to characterize standard cell libraries Geometric lossy coupled transmission lines for P CB multi chip package and IC technolo
422. le versions are determined when you install HSPICE Licensing HSPICE supports the FLEXIm licensing management system When you use FLEXIm licensing HSPICE reads the LM LICENSE FILE environment variable to find the location of the license dat file HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 2 Setup and Simulation Running HSPICE Simulations If HSPICE cannot authorize access the job terminates at this point and prints an error message in the output listing file 4 Simulation configuration HSPICE reads the appropriate meta cfg file The search order for the configuration file is the user login directory and then the product installation directory 5 Design input HSPICE opens the input netlist file demo sp If this file does not exist a no input data error appears in the output listing file UNIX HSPICE opens three scratch files in the tmp directory To change this directory reset the tmpdir environment variable in the HSPICE command script Windows HSPICE opens three scratch files in the c lt path gt TEMP or T MP directory To change this directory reset the TEMP or TMP environment variable in the HSPICE command script HSPICE opens the output listing file demo out for writing If you do notown the current directory HSPICE terminates with a file open error Here s an example of a simple HSPICE input netlist Inverter Circuit OPTION LIST NODE POST TRAN 200P 20N SWEEP TEMP 5
423. le to set up the printer plotter and terminal It includes a line default_include lt filename gt to set up a path to the default ini file for example hspice ini The default include filename is case sensitive except for the PC and Windows versions of HSPICE Initialization File You use the initialization file to specify user defaults If the run directory contains an hspice ini file HSPICE or HSPICE RF includes its contents atthe top of the input file To include initialization files you can define default include filename in a command inc or meta cfg file You can use an initialization file to set options for OPTION statements and to access libraries DC Operating Point Initial Conditions File The DC operating point initial conditions file lt design gt ic is an optional input file that contains initial DC conditions for particular nodes You can use this file to initialize DC conditions by using either a NODESET Or an IC statement A SAVE Statement can also create a lt design gt ic file A subsequent LOAD statement initializes the circuit to the DC operating point values specified in this file HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 2 Setup and Simulation Standard Output Files Input Netlist File The input netlist file lt design gt sp contains the design netlist Optionally it can also contain statements specifying the type of analysis to run type of outpu
424. le value regardless of the XSCAL type XSCAL 1 0 S cale for the x axis Two common axis scales are Linear LIN XSCAL 1 Logarithm LOG XSCAL 2 246 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Displaying Simulation Results Table 28 Model Parameters Continued Name Alias Default Description YMIN YMAX 0 0 fYMIN is notequalto Y MAX then YMIN and YMAX determine the y axis plot limits The y axis limits in the GRAPH statement overrides Y MIN and YMAX in the model f you do not specify plot limits HSPICE sets the plot limits These limits apply to the actual y axis variable value regardless of the YSCAL type YSCAL 1 0 Scale for the y axis Two common axis scales are Linear LIN XSCAL 1 Logarithm LOG XSCAL 2 Using Wildcards in PRINT PROBE PLOT and GRAPH Statements You can include wildcards in PRINT and PROBE statements HSPICE and HSPICE RF and in PLOT and GRAPH statements HSPICE only Refer to this example netlist in the discussion that follows test wildcard option post vl 10 10 rl 1 n20 10 r20 n20 n21 10 r21 n21 0 10 dc v1 1 10 1 wWildcard equival print i r1 i r20 print i Wildcard equival probe v 0 v 1 probe v wWildcard equival ent f Or i r21 i v1 f ent ent Or f Or print v n20 v n21 print v n2 Wildcard equival v n21
425. les simulation succeeds but incorrectly HSPICE does not warn you that the x1 inverter has no assigned width because the global parameter definition for wia overrides the subcircuit default HSPICE 8 Simulation and Analysis User Guide 235 Y 2006 03 Chapter 6 Parameters and Functions Parameter Scoping and Passing Note Similarly sweeping with different values of Wid dynamically changes both the Wid library internal parameter value and the pulse width value to the Wid value of the current sweep In global scoping the highest level name prevails when resolving name conflicts Local scoping uses the lowest level name When you use the parameter inheritance method you can specify to use local scoping rules This feature can cause different results than you obtained using HSPICE versions before release 95 1 on existing circuits When you use local scoping rules the Example 2 netlist correctly aborts in x1 for W 0 default Wid 0 in the SUBCKT definition has higher precedence than the PARAM statement This results in the correct device sizes for x2 This change can affect your simulation results if you intentionally or accidentally create a circuit such as the second one shown above As an alternative to width testing in the Example 2 netlist you can use OPTION DEFW to achieve a limited version of library integrity This option sets the default width for all MOS devices during a simulation Part of the definition is stil
426. les specify a DC voltage source of 5 V connected between node 1 and ground The third and fourth examples specify a 5 mA DC current source between node 1 and ground The direction of current in both sources is from node 1 to ground AC Sources AC current and voltage sources are impulse functions used for an AC analysis To specify the magnitude and phase of the impulse use the AC keyword Vl 1 0 AC 10V 90 VIN 1 0 AC 10V 90 The preceding two examples specify an AC voltage source with a magnitude of 10 V and a phase of 90 degrees To specify the frequency sweep range of the AC analysis use the Ac analysis statement The AC or frequency domain analysis provides the impulse response of the circuit HSPICE 8 Simulation and Analysis User Guide 123 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Elements 124 Transient Sources For transient analysis you can specify the source as a function of time The following functions are available Trapezoidal pulse PULSE function Sinusoidal STN function Exponential ExP function m Piecewise linear PWL function m SSingle frequency FM srFrM function Single frequency AM AM function Pattern PAT function Pseudo Random Bit Generator Source PRBS function Mixed Sources Mixed sources specify source values for more than one type of analysis For example you can specify a DC source an AC source and a transient source all of which conn
427. lies the product of both levels Do not assign a negative value or zero as the M value Figure 10 How Hierarchical Multiply Works X1 in out inv M22 e e M 8 J lt 4 mp outin vdd pch W 10 L 1 M 4 if of hs M 6 e 4 gt mn outin vss nch W 5 L 1 M 3 UNEXPANDED EXPANDED HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Using Subcircuits S Scale Parameter To scale a subcircuit use the S local scale parameter This parameter behaves in much the same way as the M parameter in the preceding section OPTION hier scale value OPTION scale value X1 nodel node2 subname S valueM parameter The OPTION HIER SCALE statement defines how HSPICE or HSPICE RF interprets the S parameter where value is either 0 the default indicating a user defined parameter or 1 indicating a scale parameter The OPTION SCALE statement defines the original default scale of the subcircuit The specified S scale is relative to this default scale of the subcircuit The scale in the subname subcircuit is value scale Subcircuits can originate from multiple sources so scaling is multiplicative cumulative throughout your design hierarchy xl a y inv S 1u subckt inv in out x2 a b kk S 1m ends In this example m HSPICE orHSPICE RF scales the x1 subcircuit by the first s scaling value 1u scale Because scaling i
428. liminary design trials So designers willingly sacrifice some accuracy for simulations that converge quickly Accuracy Tolerances HSPICE or HSPICE RF uses accuracy tolerances that you specify to assure convergence These tolerances determine when and whether to exit the convergence loop For each iteration of the convergence loop HSPICE or HSPICE amp Simulation and Analysis User Guide 297 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Accuracy and Convergence 298 HSPICE RF subtracts previously calculated values from the new solution and compares the result with the accuracy tolerances If the difference between two consecutive iterations is within the specified accuracy tolerances the circuit simulation has converged k vn Vnd lt accuracy tolerance Vnkis the solution at the n timepoint for iteration k m Vn lis the solution at the n timepoint for iteration k 1 As Table 41 shows HSPICE or HSPICE RF defaults to specific absolute and relative values You can change these tolerances so that simulation time is not excessive but accuracy is not compromised Accuracy Control Options on page 299 describes the options in Table 41 Table 41 Absolute and Relative Accuracy Tolerances Type OPTION Default Nodal Voltage Tolerances ABSVDC 50 uv RELVDC 001 Current Element Tolerances ABSI 1nA RELI 01 ABSMOS luA RELMOS 05 HSPICE or HSPICE RF compares nodal voltages and element currents
429. ll t2 val2 imp DC impedance value imp ac Magnitude and phase offset in degrees of AC impedance Example 1 V11 10 20 power 5 imp 5K This example applies a 5 decibel unit power source to node 10 and node 20 in a Thevenin equivalent manner The impedance of this power source is 5k Ohms Example 2 Iname 1 0 power 20 imp 9MEG This example applies a 20 decibel unit power source to node 1 and to ground in a Norton equivalent manner The source impedance is 9 mega ohms HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements Example 3 V5 6 0 power FREQ 10HZ 2 10KHZ 0 01 imp 2MEG imp ac 100K 60 V5 6 0 power funcl imp 2MEG imp ac 100K 60DEC funcl FREQ 10HZ 2 10KHZ 0 01 In the two preceding examples a power source operates at two different frequencies with two different values At10 Hz the power value is 2 decibel unit At10 kHz the power value is 0 01 decibel unit Also in these examples The DC impedance is 2 mega ohms The AC impedance is 100 kilo ohms The phase offset is 60 degrees Outputs None Controlled Sources In addition to independent power sources you can also create four types of controlled sources Voltage controlled voltage source VCVS or E element Current controlled current source CCCS or F element VCCS m Current controlled voltage source CCVS or H element
430. lpath command line option see HSPICE Command Syntax in the HSPICE Command Reference When a Verilog A file cannot be found in the current working directory or the directory defined by hdlpath or there is no hdlpath defined HSPICE searches directory defined by HSP_HDL_PATH for the Verilog A file The directory search order for Verilog A files is 1 Current working directory 2 Path defined by hdlpath 3 Path defined by HSP_HDL_PATH The path defined by either hdlpath orHSP HDL PATH Can consist a set of directory names The path separator must follow HSPICE conventions or platform conventions on UNIX Path entries that do not exist are ignored and no error or warning messages are issued Example This example assumes the c shell is used setenv HSP HDL PATH lib path veriloga HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices Verilog A File Loading Considerations Several restrictions and issues must be considered when loading Verilog A modules You can place an HDL statement anywhere in the top level circuit All Verilog A modules are loaded into the system prior to any device instantiation An HDL statement is not allowed inside a subckt or IF ELSEIF ELSE block otherwise the simulation will exit with an error message When a module to be loaded has the same name as a previously loaded module or the names differ in case only the
431. lpha V a b HSPICE Simulation and Analysis User Guide 71 Y 2006 03 Chapter 4 Elements Passive Elements 78 Example 3 Capacitance based Capactor option list node post r11 2 100 r2 3 0 200 Vin 1 0 pulse 0 5v ins 2ns 2ns 10ns 20ns C123 c cos v 2 3 v 1 2 ctype 2 tran ins 100ns print tran i c1 end Example 4 Charge based Capacitor option list node post ri 1 2 100 r2 3 0 200 Vin 1 0 pulse 0 5v ins 2ns 2ns 10ns 20ns C123 q sin v 2 3 v 2 3 v 1 2 tran ins 100ns print tran i c1 end Inductors General form Lxxx n1 n2 lt L gt inductance lt mname gt TC1 val lt lt TC2 gt val gt SCALE val IC val lt M val gt lt DTEMP val gt lt R val gt Lxxx n1 n2 L equation lt LTYPE val gt lt above_options gt Polynomial form Lxxx n1 n2 POLY c0 cl above options Magnetic winding form Lxxx n1 n2 NT turns above options Parameter Description LXxx Inductor element name Must begin with L followed by up to 1023 alphanumeric characters n1 Positive terminal node name n2 Negative terminal node name HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements Parameter Description TCI First order temperature coefficient for the inductor See the Passive Device Models chapter in the HSPICE Elements and Device Models Manual for temperature dependent relations TC2 Second order t
432. ly specify the system impedance the default is 50 ohms The port element behaves as either a noiseless impedance or a voltage source in series with the port impedance for all other analyses DC AC or TRAN Youcan use this elementas a pure terminating resistance or as a voltage or power source Youcan use the RDC RAC RHB RHBAC and rtran values to override the port impedance value for a particular analysis Syntax Pxxx p n port portnumber Voltage or Power Information DC mag AC mag lt phase gt gt gt HBAC mag lt phase gt gt gt HB mag phase harm tone lt modharm lt modtone gt gt gt gt gt gt gt transient waveform lt TRANFORHB 0 1 gt DCOPEN 0 1 Source Impedance Information e 44 HSPICE Simulation and Analysis User Guide Y 2006 03 4 Parameter port portnumber lt DC mag gt lt AC lt mag lt phase gt gt gt lt HBAC lt mag lt phase gt gt gt lt HB lt mag lt phase lt harm lt tone lt modharm Chapter 11 Linear Network Parameter Analysis LIN Analysis Z0 val RDC val lt RAC val gt lt RHBAC val gt RHB val lt RTRAN val gt kk Power Switch KKKKKKKK power 0 1 2 W dbm Description The port number Numbered sequentially beginning with 1 with no shared port numbers DC voltage or power source value AC voltage or power source value HSPICE RF HBAC voltage or power sourc
433. lynomial in the current describing the inductor value c0 is the magnitude of the Oth order term c1 is the magnitude of the 1st order term and so on NT turns Number of turns of an inductive magnetic winding mname Saturable core model name See the Passive Device Models chapter in the HSPICE Elements and Device Models Manual for model information In this syntax the inductance can be either a value in units of henries an equation a polynomial of the current or a magnetic winding R equired fields are the two nodes and the inductance or model name If you specify parameters the nodes and model name must be first Other parameters can be in any order If you specify an inductor model see the Passive Device Models chapter in the HSPICE Elements and Device Models Manual the inductance value is optional Example 1 In the following example the L1 inductor connects from the coilin node to the coilout node with an inductance of 100 nanohenries L1 coilin coilout 100n Example 2 The Lloop inductor connects from node 12 to node 17 Its inductance is 1 microhenry and its temperature coefficients are 0 001 and 0 Lloop 12 17 L 1u TC1 0 001 TC2 0 Example 3 The Lcoil inductor connects from the input node to ground Its inductance is determined by the product of the current through the inductor and 1E 6 Lcoil input gnd L 1lu i input LTYPE 0 80 HSPICE Simulation and Analysis User Guide Y 2006 03
434. m the following steps 1 To check topology set OPTION NODE to list nodal cross references Do all MOS p channel substrates connect to either VCC or positive supplies Do all MOS n channel substrates connect to either GND or negative supplies Do all vertical NPN substrates connect to either GND or negative supplies Do all lateral PNP substrates connect to negative supplies Do all latches have either an OFF transistor a NODESET oran IC on one side Do all series capacitors have a parallel resistance or is OPTION DCSTEP set Check your MODEL statements Check all model parameter units Use model printouts to verify actual values and units because HSPICE multiplies some model parameters by scaling options Are sub threshold parameters of MOS models set with reasonable value such as NFS 1e11 for SPICE 1 2 and 3 models or NO 1 0 for HSPICE BSIM1 BSIM2 and Level 28 device models Do not set UTRA in MOS Level 2 models Are JS and J SW setin the MOS model for the DC portion of a diode model A typical S value is 1e 4A M Are CJ and CJ SW set in MOS diode models Is weak inversion NG and ND set in JFET MESFET models If you use the MOS Level 6 LGAMMA equation is UPDATE 1 Make sure that DIODE models have non zero values for saturation current junction capacitance and series resistance Use MOS ACM 1 ACM 2 or ACM 23 source and drain diode calculations to automatically generate parasitics
435. mary each reference to a parameter with a specified distribution causes a new random variable to be generated for each Monte Carlo sample When referencing the parameter on an instance the effect of a local variation is created When referencing the parameter on an expression for a second parameter and using the second parameter on an instance then the effect of a global variation is created HSPICE 8 Simulation and Analysis User Guide 579 Y 2006 03 Appendix A Statistical Analysis Simulating the Effects of Global and Local Variations with Monte Carlo 580 Variations Specified in the Context of Subcircuits The concept explained in the previous section applies also to subcircuits as instances and instances within subcircuits Here we again use the example of a physical resistor with variation of its width test4 sp uses a subcircuit for each resistor instead of the top level resistors in test3 sp On each subcircuit a parameter width is assigned a value by an expression which is the same for all of them This value is then passed into the subcircuit and the resistor width gets this value Because the expression is the same for all subcircuits the value of parameter width will be the same for all subcircuits thus it expresses a global variation Therefore all resistors have the same width and the terminal voltages are the same In test5 sp if a different width is used for the subcircuits then the expressions are treat
436. mediate results All transistor widths and lengths are optimized HSPICE 8 Simulation and Analysis User Guide 493 Y 2006 03 Chapter 17 Optimization Overview Calculate the transistor area algebraica 494 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview model mosp pmos vto 1 kp 2 4e 5 lambda 004 gamma 37 tox 3e 8 level 3 model mosn nmos vto 1 2 kp 6 0e 5 lambda 0004 gamma 37 tox 3e 8 level 3 param wml opt1 60u 20u 100u wm5 opt1 40u 20u 100u wm6 opt1 300u 20u 500u wm7 opt1 70u 40u 200u lm zopti 10u 2u 100u bias opt1 2 2 1 2 3 0 tran 2 5n 300n sweep optimize opt1 results delayr delayf tot power area min model opt model opt opt itropt 40 close 10 relin le 5 relout le 5 tran 2n 150n measure delayr trig at 0 targ v voutr val 2 5 rise 1 goal 100ns weight 10 measure delayf trig at 0 targ v voutf val 2 5 fall 1 goal 100ns weight 10 measure tot power avg power goal 10mw weight 5 measure area min min par area goal 1e 9 minval 100n print v vint v voutr v voutf end HSPICE 8 Simulation and Analysis User Guide 495 Y 2006 03 Chapter 17 Optimization Overview 496 Figure 75 CMOS Op amp vsupply CN M4 in M1 p s vbias M7 vins e 9 vout HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimi
437. mensional polynomial NDIM must be a positive number HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Current Dependent Voltage Sources H Elements Parameter Description NPDELAY FPO P1 POLY PWL SCALE TC1 TC2 TD transresistance vnl XL y1 Number of data points to use in delay simulations The default value is the larger of either 10 or the smaller of TD tstep and tstop tstep min TD tstop 10 Thatis NPDELAY gefauit max tstep The TRAN statement specifies the tstep and tstop values Polynomial coefficients Ifyou specify one polynomial coefficient the source is linear and HSPICE or HSPICE RF assumes that the polynomial is P1 P 0 0 0 f you specify more than one polynomial coefficient the source is non linear HSPICE assumes the polynomials are PO P1 P2 See Polynomial Functions on page 158 Keyword for polynomial dimension function If you do not specify POLY ndim HSPICE assumes a one dimensional polynomial Ndim must be a positive number Keyword for a piecewise linear function Multiplier for the element value First order and second order temperature coefficients Temperature changes update the SCALE SCALEeff SCALE 1 TC1 At TC2 At Keyword for the time propagation delay Current to voltage conversion factor Names of voltage sources through which controlling current flows You must specif
438. mensional function 158 ONOISE parameter 266 OP statement 291 317 op amps open loops 305 optimization 493 operating point estimate 291 317 IC statement initialization 293 initial conditions 14 NODESET statement initialization 293 restoring 295 saving 49 294 solution 289 290 transient 317 630 AC analysis measurement results file 16 AC analysis results file 16 admittance 265 commands 241 current 252 DC analysis measurement results file 17 DC analysis results file 17 DCMATCH output tables file 19 digital output file 17 driver example 513 FFT analysis graph data file 17 files AC analysis measurement results 16 AC analysis results 16 DC analysis measurement results 17 DC analysis results 17 DCMATCH output tables file 19 digital output 17 FFT analysis graph data 17 hardcopy data 17 names 13 operating point information 17 operating point node voltages 18 output listing 18 output status 19 redirecting 13 subcircuit cross listing 19 transient analysis measurement results 19 transient analysis results 19 graphing 246 hardcopy graph data file 17 impedance 265 network 265 nodal voltage AC 261 noise 266 349 operating point information file 17 operating point node voltages file 18 output listing file 18 output status file 19 parameters 251 power 256 printing 249 251 reusing 274 saving 245 statements 241 subcircuit cross listing file 19 transient analysis measurement results file 19 transient analysis results file
439. ment Digital Output File The digital output file lt design gt a2d contains data that the A2D conversion option of the U element converted to digital form FFT Analysis Graph Data File The FFT analysis graph data file output file ftit contains the graphical data needed to display the FFT analysis waveforms Hardcopy Graph Data File HSPICE writes hardcopy graph data to file lt output_file gt gr when the input file includes a GRAPH statement The file produced is in the form of a printer file typically in Adobe PostScript or HP PCL format This facility is not available in the PC version of HSPICE Operating Point Information File HSPICE writes operating point information to file lt design gt dp when the input file includes an OPTION OPFILE 1 statement HSPICE 8 Simulation and Analysis User Guide 17 Y 2006 03 Chapter 2 Setup and Simulation Standard Output F iles Operating Point Node Voltages File HSPICE writes operati 96 T67350 0 TD0 0024 Tc 0 0151 Tw ingpo intnNodev 2li 96 27 650 18 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 2 Setup and Simulation Standard Output Files Output Status File The output status file lt output_file gt st where is 0 9999 contains the following runtime reports Start and end times for each CPU phase Options settings with warnings for obsolete options Status of preprocessing checks for licensing input syntax models and cir
440. ment 525 AM source 539 amplifier 529 amplitude modulator 526 analog 528 AND gate 526 automatic model selection program 537 620 behavioral applications 526 527 behavioral models 528 diode 526 D latch 526 filter 524 NAND gate 527 ring oscillator 527 triode 527 voltage to frequency converter 524 benchmarks 527 528 bisection 528 BJTs analog circuit 528 beta plot 528 differential amplifier 525 529 diodes 528 ft plot 528 gm gpi plots 528 photocurrent 538 Schmidt trigger 525 sense amplifier 525 BSIM3 model LEVEL247 536 capacitances MOS models LEVEL 13 536 LEVEL 2 536 LEVEL 6 536 cell characterization 525 526 528 529 charge conservation MOS models LEVEL 3 536 LEVEL 6 537 circuit optimization 529 CMOS differential amplifier 525 I O driver ground bounce 525 540 input buffer 529 inverter macro 527 output buffer 529 coax transmission line 540 crystal oscillator 525 current controlled current source 527 voltage source 527 D2A 529 DC analysis MOS model LEVEL 34 537 DDL 529 533 delay 525 528 529 device optimization 533 differential amplifier 525 differentiator 526 diffusion effects 525 diode photocurrent 538 D latch 526 E Element 526 edge triggered flip flop 526 exponential source 539 AM source 533 analysis 533 535 Bartlett window 534 Blackman window 534 Blackman Harris window 534 data driven transient analysis 534 exponential source 534 Gaussian window 534 Hamming window 534 Hanning window
441. ment name for all parts of the circuit where node voltages or currents do not converge For example Table 43 on page 309 identifies the xinv21 xinv22 xinv23 and xinv24 inverters as problem sub circuits in a ring oscillator It also indicates that the p channel transistors in the xinv21 xinv22 xinv24 sub circuits are nonconvergent elements The n channel transistor of xinv23 is also a nonconvergent element The table lists voltages and currents for the transistors so you can check whether they have reasonable values The tolds tolbd and tolbs error tolerances indicate how close the element currents drain to source bulk to drain and bulk to source are to a convergent solution For tol variables a value close to or below 1 0 is a convergent solution In Table 43 the to values that are around 100 indicate that the currents were far from convergence The element current and voltage values are also shown id ibs ibd vgs vds and HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Diagnosing Convergence P roblems vbs Examine whether these values are realistic and determine the transistor regions of operation Table 43 Subcircuit Voltage Current and Tolerance subckt xinv21 xinv22 xinv23 xinv23 xinv24 element 21 mphc1 22 mphc1 23 mphc1 23 mnch1 24 mphc1 model 0 p1 0 p1 0 p1 0 n1 0 p1 id 27 5809f 140 5646u 1
442. ment variable see Setting Up HSPICE for Each User in the Installation Guide License Queuing Setting the optional META QUEUE environment variable to 1 enables HSPICE licenses to be queued setenv META QUEUE 1 The licensing queuing works as follows If you have five HSPICE floating licenses and all five licenses are checked out with the META QUEUE environment variable enabled then the next job submitted waits in the queue until a license is available when one of the previous five jobs finishes When META QUEUE is enabled and all available licenses are in use an error message is issued that says no licenses are available If you have more than one HSPICE token INCREMENT line and the version dates are different only the first token in your license file is queued FLEXIm HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 2 Setup and Simulation Standard Input Files queues the first increment line that satisfies the request If you have two increment lines with different versions two license pools are created on the server When you issue the queuing request the server attempts to satisfy the request but if itis not possible the server queues the first increment line that satisfies the request Once that particular increment line is queued it waits for that increment line to become free The server does not continually look for any other line that satisfies this request This is normal operation for FLE Xlm
443. ments To modify the temperature for a particular element use the DTEMP parameter The default circuit temperature is the value of TNOM Individual element temperature which is the circuit temperature plus an optional amount that you specify in the DTEMP parameter To specify the temperature of a circuitin a simulation run use either the TEMP statement or the TEMP parameter in the DC AC or TRAN Statements HSPICE or HSPICE RF compares the circuit simulation temperature that one of these statements sets against the reference temperature that the TNOM option sets TNOM defaults to 25 C unless you use the SPICE option which defaults to 27 C To calculate the derating of component values and model parameters HSPICE or HSPICE RF uses the difference between the circuit simulation temperature and the TNOM reference temperature Elements and models within a circuit can operate at different temperatures For example a high speed input output buffer that switches at 50 MHz is much hotter than a low drive NAND gate that switches at 1 MHz To simulate this temperature difference specify both an element temperature parameter DTEMP and a model reference parameter TREF If you specify DTEMP in an element statement the element temperature for the simulation is element temperature circuit temperature DTEMP Specify the DTEMP value in the element statement resistor capacitor inductor diode BJ T J FET or MOSFET statement o
444. meter can be set with a value up to 1024 characters The node1 and node2 are the positive and negative nodes that connect to the noise source The noise expression can contain the bias frequency or other parameters and involve node voltages and currents through voltage sources For a description of the G Element parameters see G Element Parameters on page 189 This syntax creates a simple two terminal current noise source whose value is described in A2 Hz The output noise generated from this noise source is noise expression H H is the transfer function from the terminal pair node1 node2 to the circuit output where the output noise is measured You can also implement a behavioral noise source with an E Element As noise elements they are two terminal elements that represent a noise source connected between two specified nodes gname nodel node2 node3 node4 noise expression This syntax produces a noise source correlation between the terminal pairs node1 node2 and node3 node4 The resulting output noise is computed as noise expression sqrt H 1 H2 H1 is the transfer function from node1 node2 to the output H2 is the transfer function from node3 node4 to the output The noise expression can involve node voltages and currents through voltage Sources Data form Gxxx nodel node2 noise data dataname DATA dataname pnamel pname2 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources a
445. meters are defined in the variation block see Chapter 14 Variation Block Those definitions are used to calculate the variation in DC response DCmatch analysis runs either from a default operating point or for each value of the independent variable in a single DC sweep The default output is in the form of tables containing the sorted contributions of the relevant devices to the total variation as well as information on matched devices In the current implementation a heuristic algorithm makes a best guess effort to identify matched devices This means thatthe results are suggestions only In addition to the table the total variation and contributions of Selected devices can be output using PROBE and MEASURE commands Input Syntax DCMATCH OUTVAR lt THRESHOLD T gt lt FILE string gt PERTURBATION P lt INTERVAL Int gt Parameter Description OUTVAR Valid node voltages the difference between node pairs or branch currents 456 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 16 DC Mismatch Analysis DCmatch Analysis Parameter Description THRESHOLD Report devices with a relative variance contribution above Threshold in the summary table T 0 reports results for all devices T lt 0 suppresses table output however individual results are still available through PROBE or MEASURE statements The upper limit for T is 1 but at least 10 devices are reported or all if there are less than
446. method is that the netlist needs to be parameterized properly to get the correct variations The process of preparing a basic netlist for Monte Carlo simulations with this approach is tedious and error prone therefore it is best handled with scripts HSPICE amp Simulation and Analysis User Guide 581 Y 2006 03 Appendix A Statistical Analysis Simulating the Effects of Global and Local Variations with Monte Carlo 582 Bsim3 supports the following instance parameters L w ad as pd ps nrd nrs rdc rsc off ic dtemp delvto geo sa sb sd nf stimod sal sa2 sa3 sa4 sa5 sao sa7 sa8 sa9 sa10 sb1 sb2 sb3 sb4 sb5 sb6 sb7 sb8 sb9 sb10 sw1 sw2 sw3 sw4 sw5 sw6 sw7 sw8 sw9 sw10 muluO mulua mulub tnodeout rthO cthO deltox delk1 delnfct and acnqsmod Bsim4 supports the following instance parameters L w ad as pd ps nrd nrs rdc rsc off ic dtemp delvto geo rbsb rbdb rbpb rbps rbpd trngsmod acnqsmod rbodymod rgatemod geomod rgeomod nf min muluO delk1 delnfct deltox sa sb sd stimod sal sa2 Sa3 sa4 sa5 sa6 sa7 sa8 sa9 sal0 sb1 sb2 sb3 sb4 sb5 sb6 sb7 sb8 sb9 sb10 sw1 sw2 sw3 sw4 sw5 sw6 sw7 sw8 sw9 sw10 xgw ngcon Sca Scb scc sc delk2 delxj mulngate delrsh delrshg dellpeO deldvtO and mulvsat Variations Specified on Model Parameters In this section we investigate the method of specifying distributions on parameters and us
447. module name HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices Argument Description pname Parameter name Every parameter must be predefined in its associated Verilog A module with default parameter value set For legibility use either blanks or commas to separate each assignment Use a plus sign to start a continuation line Example For the following examples assume the following Verilog A module is used module va_amp in out electrical in out input in output out parameter real gain 1 0 parameter real fc 100e6 analog begin Its associated model cards can then be model myamp va amp gain 2 fc 200e6 model myamp2 va amp gain 10 The instantiations of Verilog A module va amp are xl nl n2 myamp x2 n3 n4 myamp gain 3 0 x3 n5 n6 myamp gain 2 0 fc 150e6 x4 n7 n8 myamp2 fc 300e6 x5 n9 n10 va amp Instance x1 inherits model myamp parameters that is gain 2 fc 200e6 nstance x2 inherits fc 200e6 from model myamp but overrides gain with the value 3 0 Instance x3 overrides all model myamp parameters Instance x4 inherits parameter gain 10 from model myamp2 and overrides parameter c which is an implicit parameter in myamp2 Instance x5 does not use a model card and directly instantiates the Verilog A module va amp and inherits all module va amp default parameters which are gain 1 and fc
448. mple sets the local oset parameter to 0 and uses this value for the rc statement to initialize the Q latch output node As a result all latches have a default state of Q low Setting Qset to vdd calls a latch which overrides this state Subckt latch in Q Q d Qset 0 ic Q Qset ends Xff data in 1 out 1 out 1 strobe LATCH Qset vdd Inappropriate Model Parameters If you impose non physical model parameters you might create a discontinuous IDS or capacitance model This can cause an internal timestep too small error during the transient simulation The mosivcv sp demonstration file shows IDS VGS GM GDS GMB and CV plots for MOS devices A sweep near threshold from Vth 0 5 V to Vth 0 5 V using a delta of 0 01 V sometimes discloses a possible discontinuity in the curves HSPICE 8 Simulation and Analysis User Guide 311 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Diagnosing Convergence P roblems Figure 41 Discontinuous l V Characteristics lds A l V characteristics exhibiting saturation conductance zero gt Vds lds A l V exhibiting VDSAT slope error Vas lds A l V exhibiting negative resistance region gt Vas If simulation does not converge when you add a component or change a component value then the model parameters are not appropriate or do not correspond to physical values they represent To locate the problem follow these steps 1 Check the input netlist f
449. mulation Using HSPICE in Client S erver Mode Using HSPICE in Client Server Mode When you run many small simulation cases you can use the client server mode to improve performance This performance improvement occurs because you check out and check in an HSPICE license only once This is an effective measure when you characterize cells To Start Client Server Mode Starting the client server mode creates an HSPICE server and checks out an HSPICE license To startthe client server mode enter hspice C Server The server name is a specific name connected with the machine on which HSPICE runs When you create the server HSPICE also generates a hidden hspicecc directory in your home directory HSPICE places some related files in this directory and removes them when the server exits HSPICE Client S erver mode does not let one user create several servers on the same machine When you create a server the output on the screen is XCACckck ckck kckck kckck kock kckck kck ck KEK KKK kck ck KKK ck k k k k kk Starting HSPICE Client Server Mode Ckckcko ck KKK ko kockockock KKK ck ck kockock ck ko ckock ck ck ko ckock ck ck ck ck k kk Checking out HSPICE license HSPICE license has been checked out ACA CkCkCkCkckCck ck ck ckCk ckck ck ck ck ck ck ckCk kCk kCk ck Ck k ok ck ok ok ck ck ck ck k ck k k kk kkk k Welcome to HSPICE Client Server Mode Ck CkCkCkckckckckCck ckCck ck ck ck ck ck ck ck CkCk CkCk kCk ck ck ck ck ok
450. mulation Example Using AvanWaves Figure 116 Plot of Voltage on Node inv2out vs Time Mri in EOM e r amm Mm citt ons iin ae Gor o 2 8 4 2 Ta a a a E E DU XXn 830m itn Ah abe ace on en 4Min sr BHn Boon BEC aug ora 1 ni TIME 600 HSPICE Simulation and Analysis User Guide Y 2006 03 Figure 117 Plot of Current through Diode dlow vs Time Appendix B Full Simulation Examples Simulation Example Using AvanWaves Sourmprt Bev i i i i I amet vet tete era Me sername Sa ll i NC EN Fon dD n in niin Din An Ath ads aon Fia Bie ane TIA Ino IaE HSPICE 8 Simulation and Analysis User Guide Y 2006 03 601 Appendix B Full Simulation Examples Simulation Example Using CosmosS cope Figure 118 Plot of Measured Variable falltime vs Amplifier Input Voltage eee TEM ia x tal a 14 ni qa da zh Ra ig 34 aa ii 4a a 4a h L3 Hm Cum Fun ii Tini Simulation Example Using CosmosScope 602 This example demonstrates the basic steps to perform simulation output and to view the waveform results by using the Synopsys CosmosScope Waveform Viewer Input Netlist and Circuit This example is based on demonstration netlist bjtdiff sp which is available in directory installdir demo hspice apps This shows the input netlist for a BJ T diff amplifier Comment lines indicate the individual sections of the netlists See the HSPICE Command Referenc
451. n input file Puta DEL LIB statementin the ALTER section to delete that library for the ALTER simulation run Connecting Nodes Use a CONNECT statement to connect two nodes in your HSPICE netlist so that simulation evaluates two nodes as only one node Both nodes must be at the same level in the circuit design that you are simulating you cannot connect nodes that belong to different subcircuits You also cannot use this statement in HSPICE RF 54 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition Deleting a Library Usea DEL LIB Statement to remove library data from memory The next time you run a simulation the DEL LIB statement removes the LIB Call statement with the same library number and entry name from memory You can then use a LIB Statement to replace the deleted library You can use a DEL LIB statement with a ALTER statement HSPICE RF does notsupportthe ALTER statement Ending a Netlist An END statement must be the last statement in the input netlist file Text that follows the END statement is a comment and has no effect on the simulation An input file that contains more than one simulation run must include an END statement for each simulation run You can concatenate several simulations into a single file Condition Controlled Netlists IF ELSE You can use the IF ELSE structure to change the circuit topology
452. n with sigma of 10 on the resistors without model element variation R R 10 end element variation The currently supported element types and their parameters are Element Parameter M DTEMP R Rval DTEMP2 C Cvalt DTEMP Q X AREA DTEMP D DTEMP L Lvalt DTEMP DCval V DCval 1 Asterisk denotes implicit value parameter 2 The DTEMP parameter is implicit it does not have to be specified on the element instantiation line Because different classes of devices might be affected differently a selection mechanism based on element name and model name is provided by using a condition clause element type condition clause element parameter Expression for Sigma The condition clause allows for specifying variations on selected elements according to their name or associated model Wildcard substitutions can be indicated as for single character and for multiple characters Examples for condition clause syntax are element type model name modelNameA element type element name elNameB element type model name modelNameE OPERATOR model name modelNameF par exp element type model name modelNameC OPERATOR element name elNameD par exp element type element name elNameG OPERATOR element name elNameH par exp 440 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 14 Variation Block Variation Block Structure Where O
453. nal in binary e 8 bit signal in hexadecimal Equivalents of these lines in non Verilog format are TITL AB XXXX AAAA AAAA ZZZZ 22424 Z ZZZ ZZZZ Z ZZZ Z ZZZ 1000 0000 Periodic Tabular Data Tabular data is often periodic so you do not need to specify the absolute time at every time point When you specify the PERIOD statement the Tabular Data section omits the absolute times For more information see Defining Tabular Data on page 211 For example the PERIOD statement in the following sets the time interval to 10ns between successive lines in the tabular data This is a shortcut when you use vectors in regular intervals throughout the entire simulation RADIX 1111 1111 VNAME ABCDEF GH TO TIILI ETE TUNIT ns PERIOD 10 start of vector data section 1000 1000 1100 1100 1010 1001 HSPICE Simulation and Analysis User Guide 215 Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File 216 Waveform Characteristics The Waveform Characteristics section defines various attributes for signals such as the rise or fall time the thresholds for logic high or low and so on For example TRISE 0 3 137F 0000 TFALL 0 5 137F 0000 VIH 5 0 137F 0000 VIL 0 0 137F 0000 The waveform characteristics are based on a bit mask Where The TRISE signal rise time setting of 0 3ns applies to the first four vectors but not to the last four The example does not show how many bits are in each of
454. namic M acromodeling Dynamic Model Switcher ECL Compiler ECO Compiler EDAnavigator Encore Encore PQ Evaccess ExpressModel Floorplan Manager Formal Model Checker FoundryModel FP GA Compilerll FP GA Express Frame Compiler Galaxy Gatran HANEX HDL Advisor HDL Compiler Hercules Hercules E xplorer Hercules ll Hierarchical O ptimization Technology High Performance Option HotP lace HSIMPlUS HSPICE Link iN Tandem Integrator Interactive Waveform Viewer i Virtual Stepper J upiter J upiter DP J upiterXT J upiterXT ASIC J VXtreme Liberty Libra P assport Library Compiler Libra Visa Magellan Mars Mars Rail Mars Xtalk Medici Metacapture Metacircuit Metamanager Metamixsim Milkyway ModelS ource Module Compiler MS 3200 MS 3400 Nova Product Family Nova ExploreRTL Nova Trans Nova VeriLint Nova VHDLlint Optimum Silicon Orion ec Parasitic View Passport Planet Planet PL Planet RTL Polaris Polaris CBS Polaris MT Power Compiler PowerCODE PowerGate ProFPGA ProGen Prospector Protocol Compiler PSMGen Raphael Raphael NES RoadRunner RTL Analyzer Saturn ScanBand Schematic Compiler Scirocco S cirocco i Shadow Debugger Silicon Blueprint Silicon Early Access SinglePass SoC Smart Extraction S martLicense S martM odel Library Softwire Source Level Design Star Star DC Star MS Star MTB Star Power Star Rail Star RC Star RCXT Star Sim Star SimXT Star Time Star XP SWIFT Taurus TimeSlice TimeTrac
455. nd Stimuli Voltage Dependent Current Sources G Elements freql noisel freq2 noise2 ge se foe enddata The data form defines a basic frequency noise table The DATA statement contains two parameters frequency and noise to specify the noise value at each frequency point The unit for frequency is hertz and the unit for noise is A2 Hz For a description of the G Element parameters see G Element Parameters on page 189 Example The following netlist shows a 1000 ohm resistor g1 using a G element The glnoise element placed in parallel with the g1 resistor delivers the thermal noise expected from a resistor The r1 resistor is included for comparison The noise due to x1 should be the same as the noise due to glnoise Resistor implemented using g element v1 1 0 1 ri 1 2 1k gl 1 2 curz v 1 2 0 001 glnoise 1 2 noise 4 1 3806266e 23 TEMPER 273 15 0 001 rout 2 0 1meg ac lin 1 100 100 noise v 2 v1 1 end Voltage Controlled Resistor VCR Linear Gxxx n n VCR in in transfactor MAX val lt MIN val gt SCALE val M val lt TCl val gt TC2 val lt IC val gt For a description of the G Element parameters see G Element Parameters on page 189 Polynomial POLY GXXX n n VCR POLY NDIM inl ini lt inndim inndim gt lt MAX val gt lt MIN val gt lt SCALE val gt lt M val gt lt TCl val gt lt TC2 val gt PO lt Pl gt lt IC vals gt HSPICE amp Simulat
456. ndentarray of matrixes Touchstone files mustfollow the S p file extension rule where represents the dimension of the network For details see Touchstone File Format Specification by the EIA IBIS Open Forum http www eda org Name of the CITIfile which is a data file that contains frequency dependent data For details see Using Instruments with ADS by Agilent Technologies http www agilent com Parameter type S scattering the default Y admittance Z impedance Characteristic impedance value of the reference line frequency independent For multi terminal lines N 21 HSPICE assumes thatthe characteristic impedance matrix of the reference lines are diagonal and their diagonal values are setto Zo You can also set a vector value for non uniform diagonal values Use Zof to specify more general types of a reference line system The default is 50 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Transmission Lines Parameter Description FBASE Base frequency used for transient analysis HSPICE uses this value as the base frequency point for Inverse Fast Fourier Transformation IFFT IfFBASE is notset HSPICE uses a reciprocal of the transient period as the base frequency fFBASE is set smaller than the reciprocal value of transient period transient analysis performs circular convolution by using the reciprocal value of FBASE as a base period FMAX Maximum fre
457. ndividual HSPICE commands referenced in this chapter see the HSPICE Command Reference Overview of Output Statements Output Commands The input netlist file contains output statements including PRINT PLOT GRAPH PROBE MEASURE DOUT and STIM Each statement specifies the output variables and the type of simulation result to display suchas DC AC or TRAN When you specify OPTION POST Synopsys HSPICE puts all output variables referenced in PRINT PLOT GRAPH PROBE MEASURE DOUT and STIM statements into HSPICE output files HSPICE RF supports only OPTION POST OPTION PROBE PRINT PROBE and MEASURE statements It does not support DOUT PLOT GRAPH Or STIM statements CosmosScope provides high resolution post simulation and interactive display of waveforms HSPICE amp Simulation and Analysis User Guide 241 Y 2006 03 Chapter 7 Simulation Output Overview of Output Statements 242 Table 22 Output Statements Output Statement Description PRINT Prints numeric analysis results in the output listing file and post processor data if you specify OPTION POST PLOT HSPICE Obsolete option Use PRINT or PROBE to generate necessary only plotin the output listing file Generates low resolution AS Cll plots in the output listing file and post processor data if you specify OPTION POST in HSPICE only not supported in HSPICE RF GRAPH Obsolete option Use P
458. ne shows that the number of registers is 7 and the total number of feedback taps is 4 7 3 2 1 The following feedback input applies for this specification D n D n 7 D n 3 D n 2 D n 1 mod 2 Voltage and Current Controlled Elements 156 HSPICE or HSPICE RF provides two voltage controlled and two current controlled elements known as E G H and F Elements You can use these controlled elements to model MOS transistors bipolar transistors tunnel diodes m SCRs analog functions such as Operational amplifiers summers e comparators e voltage controlled oscillators HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage and Current Controlled Elements modulators switched capacitor circuits Depending on whether you used the polynomial or piecewise linear functions the controlled elements can be Linear functions of controlling node voltages Non linear functions of controlling node voltages Linear functions of branch currents Non linear functions of branch currents The functions of the E F G and H controlled elements are different The E element can be A voltage controlled voltage source A behavioral voltage source An ideal op amp An ideal transformer An ideal delay element A piecewise linear voltage controlled multi input AND NAND OR or NOR gate The F element can be A current controlled current source
459. nent to a linear element such as a resistor inductor or capacitor The second method uses multiple components at different temperatures Example The following example the installdirldemo hspice ciropt opttemp sp or installdirldemo hspicext ciropt opttemp sp for HSPICE RF demo file simulates three circuits of a voltage source It also simulates a resistor at 25 0 and 25 C from nominal using the DTEMP parameter for element delta temperatures The resistors share a common model You need three temperatures to solve a second order equation You can extend this simulation template to a transient simulation of non linear components such as bipolar transistors diodes and FETs This example uses some simulation shortcuts In the internal output templates for resistors LV1 resistor is the conductance reciprocal resistance at the desired temperature You can run optimization in the resistance domain To optimize more complex elements use the current or voltage domain with measured sweep data The error function expects a sweep on atleast two points so the data statement must include two duplicate points Input File for Optimized Temperature Coefficients You can find the sample netlist for this example in the following directory installdir demo hspice ciropt opttemp sp HSPICE 8 Simulation and Analysis User Guide 519 Y 2006 03 Chapter 19 Running Demonstration Files Simulating Electrical Measurements
460. nity of the threshold region HSPICE or HSPICE RF inserts GMINDC between Drain and bulk Source and bulk Drain and source The drain to source GMINDC helps to j inearize the transition from cutoff to weakly on m Smooth out model discontinuities Compensate for the effects of negative conductances The pn junction insertion of GMINDc in junction diodes linearizes the low conductance region As a result the device behaves like a resistor in the low conductance region This prevents the occurrence of zero conductance and improves the convergence of the circuit If a circuit does not converge HSPICE or HSPICE RF automatically sets the DCON option This option invokes GMINDC ramping in steps 2 and 3 of Figure 37 GMINDC for various elements is shown in Figure 38 on page 303 302 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Accuracy and Convergence Figure 38 GMINDC Insertion GMINDC D GMINDC A GMINDC Ti Z GMINDC e GMINDC NW GMINDC Wr GMINDC Diode element BJ T element MOSFET element J FET or MESFET element HSPICE 8 Simulation and Analysis User Guide Y 2006 03 303 Chapter 8 Initializing DC Operating Point Analysis Reducing DC Errors Reducing DC Errors To reduce DC errors perfor
461. ns hi 60ns hi 1 2 3 4 5 0 1 1 0 2 0 3 0 4 0 5 ATS 01 150 1 1 1 2 1 3 225 0 1 208 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Replacing Sources With Digital Inputs 300 1 1 0 2 0 3 1 4 1 5 x35 0 1 450 1 1 1 2 1 3 525 0 1 600 1 0 2 0 3 0 4 0 5 uc carry in vld2a vhd2a d2a signame 1 is 0 ua 0 a 0 vld2a vhd2a d2a signame 2 is ua 1 vld2a vhd2a d2a signame 3 is S S a 1 ub 0 b 0 vld2a vhd2a d2a signame 4 i ub 1 b 1 vld2a vhd2a d2a signame 5 i Tr PRR ucO c 0 vrefa2d a2d signame 10 ucl c 1 vrefa2d a2d signame 11 uco carry out 2 vrefa2d a2d signame 12 uci carry in vrefa2d a2d signame 13 models model n nmos level 3 vto 0 7 uo 500 kappa 25 kp 30u eta 01 theta 04 vmax 2e5 nsub 9el6 tox 400 gamma 1 5 pb 0 6 js 1m xj 0 5u 1d 0 1u nfs 1el11 nss 2e10 rsh 80 cj 3m mj 0 5 cjsw 1n mjsw 0 3 acm 2 capop 4 model p pmos level 3 vto 0 8 uoz150 kappa 25 kp 15u eta 015 theta 04 vmax 5e4 nsub 1 8e16 tox 400 gamma 672 pb20 6 js 1m xj 0 5u 1d 0 15u nfs lell nss 2e10 rsh 80 cj 3m mj 0 5 cjsw 1n mjsw 0 3 acm 2 capop 4 default digital input interface model model d2a u level 5 timestep 0 1ns sOname 0 sO0tsw 1ns sOrlo 15 sOrhi 10k s2name x s2tsw 5ns s2rlo 1k s2rhi 1k s3name z s3tsw 5ns s3rlo 1meg s3rhi 1meg s4name 1 s4tsw 1ns s4rlo 10k s4rhi 60 vld2a vld2a 0 dc lo vhd2a v
462. nsient analysis Post processor output for DC analysis Post processor output for AC analysis Post processor output for AC analysis measurements View HSPICE Results in CosmosScope The steps below show how to use the Synopsys CosmosScope Waveform Viewer to view the results of AC DC and transient analysis from the BJ T diff amplifier simulation Refer to previous examples of lis ic and st0 files Viewing HSPICE Transient Analysis Waveforms To view HSPICE transient analysis waveforms do the following 1 Invoke CosmosS cope From a Unix command line type cscope On a Windows NT system choose the menu command Programs gt user install location CosmosS cope 2 Open the Open Plotfiles dialog box File Open Plotfiles HSPICE 8 Simulation and Analysis User Guide 605 Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using CosmosS cope 606 In the Open Plotfiles dialog box in the Files of Type fields select the Hspice Transient tr item In the menu click on bjtdiff trO and click Open The Signal Manager and the bjtdiff Plot File windows open Hold down the Ctrl key and select the v 4 v 5 and ITPOWER D power signals Click on Plot from the bjtdiff Plot File window Three cascaded plots open To see three signals in one plot right click on the top most signal name The Signal Menu opens From the Signal Menu select Stack Region Analog 0 Repeat Step 7 for th
463. nt analysis simulates a circuit at a specific time Some of its algorithms control options convergence related issues and initialization parameters are different than those used in DC analysis However a transient analysis first performs a DC operating point analysis unless you specify the UIC option in the TRAN statement Therefore most DC analysis algorithms control options initialization issues and convergence issues also apply to transient analysis Unless you set the initial circuit operating conditions some circuits such as oscillators or circuits with feedback do not have stable operating point solutions For these circuits either Break the feedback loop to calculate a stable DC operating point or m Specify the initial conditions in the simulation input HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Overview of Transient Analysis If you include the UIC parameter in the TRAN statement HSPICE or HSPICE RF bypasses the DC operating point analysis Instead it uses node voltages specified in an IC statement to start a transient analysis For example if a IC statement sets a node to 5 V in the value at that node for the first time point time 0 is 5 V You can use the oP statement to store an estimate of the DC operating point during a transient analysis Example In the following example the UIC parameter in the TRAN statement bypasses the initial DC ope
464. nt analysis tnom 25 000 temp 25 000 xxx parameter analog voltage 44 000E 00 node voltage node voltage node voltage 0 clamp 2 6200 O in 0 0 infilt 2 6200 0 inviout 2 6200 0 inv20out 2 6199 0 vdd 5 0000 KKKKKK example hspice netlist using a linear cmos amplifier transient analysis tnom 25 000 temp 25 000 falltime 7 5424E 09 targ 5 3989E 08 trig 4 6447E 08 HSPICE 98 4 981215 13 58 43 01 08 1999 solaris example hspice netlist using a linear cmos amplifier transient analysis tnom 25 000 temp 25 000 parameter analog voltage 5 000E 00 node voltage node voltage node voltage 0 clamp 2 6200 O in 0 0 infilt 2 6200 0 invlout 2 6200 0 inv2out 2 6199 0 vdd 5 0000 KKKKKK example hspice netlist using a linear cmos amplifier transient analysis tnom 25 000 temp 25 000 falltime 6 1706E 09 targ 5 3242E 08 trig 4 7072E 08 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using AvanWaves meas variable falltime mean 16 0848n varian 1 802e 16 Sigma 13 4237n avgdev 9 2256n max 39 1488n min 6 1706n job concluded HSPICE 98 4 981215 13 58 43 01 08 1999 solaris example hspice netlist using a linear cmos amplifier job statistics summary tnom 25 000 temp 25 000 total memory used 155 kbytes nodes 8 elements
465. nte Carlo sweeps the VDD supply voltage from 4 5 volts to 5 5 volts This example is based on demonstration netlist mondc a sp which is available in directory installdir demo hspice apps m The M1 through M4 transistors form two inverters The nominal value of the LENGTH parameter sets the channel lengths for the MOSFETs which are set to 1u in this example All transistors are on the same integrated circuit die The LEFF parameter specifies the distribution for example a 5 distribution in channel length variation at the 3 sigma level Each MOSFET has an independent random Gaussian value file mondc a sp options post dc vdd 4 5 5 5 probe dc i m1 vdd 3 0 5v param length 1 u lphoto 1u param leff gauss length 05 3 param photo xphoto m1 1 2 gnd gnd m2 1 2 vdd vdd m3 2 3 gnd gnd m4 2 3 vdd vdd nch w 10u l leff pch w 20u l leff nch w 10u l leff pch w 20u l leff sweep monte 30 xphoto gauss lphoto 2253 model nch nmos level 2 uo 500 tox 100 gamma 7 vto 8 xl photo model pch pmos level 2 uo 250 tox 100 gamma 5 vto 8 xl photo end The PHOTO parameter controls the difference between the physical gate length and the drawn gate length Because both n channel and p channel transistors HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Monte Carlo Analysis use the same layer for the gates Monte Carlo analysis sets XPHOTO
466. nter the hspice or hspicext command the input file name and the desired options You can use the command line at the prompt in a Unix shell or a Windows command prompt or for HSPICE only click on an icon in a Windows environment You can specify whether the HSPICE or HSPICE RF program simulation output appears in an output listing file or in a graph data file HSPICE only HSPICE or HSPICE RF creates standard output files to describe initial conditions ic extension and output status stO extension In addition HSPICE or HSPICE RF creates various output files in response to user defined input options for example HSPICE creates a design trO file in response toa TRAN transient analysis statement The default waveform display tool CosmosS cope See the CosmosScope User Guide for instructions about how to use CosmosScope Simulation Process Overview Figure 6 shows the HSPICE or HSPICE RF simulation process HSPICE 8 Simulation and Analysis User Guide 9 Y 2006 03 Chapter 1 Overview Simulation Structure Figure 6 Simulation Process 1 Invocation 3 hspice i demo sp o demo lis OR hspicerf a ckt in ckt 2 Run script 3 Licensing 4 Simulation configuration 5 Design input 6 Library input 7 Operating point initialization 8 Multipoint analysis 9 Single point analysis 10 Worst case ALTER 11 Clean up Y Select version Select best architecture Run HSPICE
467. nvironment variable This variable specifies the full path to the license dat license file Set the LM LICENSE FILE environment variable to point to the HSPICE and HSPICE RF license file For example if your HSPICE RF license file is in usr cad hspicext license dat And your HSPICE license file is in usr cad hspice license dat HSPICE 8 Simulation and Analysis User Guide 11 Y 2006 03 Chapter 2 Setup and Simulation Setting Environment Variables 12 Then you would enter setenv LM LICENSE FILE usr cad hspicext license dat usr cad hspice license dat You can also set the variable port hostname to point to a license file on a server If you are using the C shell add the following line to the cshrc file setenv LM LICENSE FILE port hostname fyou are using the Bash or Bourne shell add these lines to the bashrc or profile file LM LICENSE FILE portGhostname export LM LICENSE FILE The port and host name variables correspond to the TCP port and license server host name specified in the SERVER line of the Synopsys license file Note To ensure better performance it is recommended that you use portGhostname rather than using the path to the license file Each license file can contain licenses for many packages from multiple vendors You can specify multiple license files by separating each entry For UNIX use a colon and for Windows use a semicolon For details about setting license file environ
468. o integrate high performance IC parts onto a printed circuit board P CB The output driver circuit is critical to system performance The installdirldemo hspice apps asic1 sp or installdir demo hspicext apps asicl sp for HSPICE RF demonstration file shows models for an output driver the bond wire and leadframe and a six inch length of copper transmission line HSPICE 8 Simulation and Analysis User Guide 513 Y 2006 03 Chapter 19 Running Demonstration Files CMOS Output Driver Demo 514 This simulation demonstrates how to Define parameters and measure test outputs m Use the LUMP5 macro to input geometric units and convert them to electrical units Use MEASURE statements to calculate the peak local supply current voltage drop and power Measure RMS power delay rise times and fall times Simulate and measure an output driver under load The load consists of Bondwire and leadframe inductance Bondwire and leadframe resistance Leadframe capacitance Six inches of 6 mil copper on an FR 4 printed circuit board Capacitive load at the end of the copper wire Strategy The HSPICE or HSPICE RF strategy is to Create a five lump transmission line model for the copper wire Create single lumped models for leadframe loads HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files CMOS Output Driver Demo Figure 86 Noise Bounce FILE MOS1VGS SP IDS VG
469. obal r3 5 6 r zr global Monte Carlo Parameter Distribution Each time you use a parameter Monte Carlo calculates a new random variable If you do not specify a Monte Carlo distribution then HSPICE assumes the nominal value If you specify a Monte Carlo distribution for only one analysis HSPICE uses the nominal value for all other analyses You can assign a Monte Carlo distribution to all elements that share a common model The actual element value varies according to the element distribution If you assign a Monte Carlo distribution to a model keyword then all elements that share the model use the same keyword value You can use this feature to create double element and model distributions For example the MOSFET channel length varies from transistor to transistor by a small amount that corresponds to the die distribution The die distribution is responsible for offset voltages in operational amplifiers and for the tendency of flip flops to settle into random states However all transistors on a die site vary according to the wafer or fabrication run distribution This value is much larger than the die distribution but affects all transistors the same way You can specify the wafer distribution in the MOSFET model to set the speed and power dissipation characteristics HSPICE 8 Simulation and Analysis User Guide 559 Y 2006 03 Appendix A Statistical Analysis Monte Carlo Analysis 560 Monte Carlo Examples Note HSPICE
470. ode model jfet references the J FET model The width is 10 microns The length is 10 microns MOSFETs Mxxx nd ng ns nb mname lt lt L gt length gt lt lt W gt width gt lt AD val gt AS val PD val lt PS val gt lt NRD val gt lt NRS val gt lt RDC val gt lt RSC val gt lt OFF gt IC vds vgs vbs M val lt DTEMP val gt GEO val lt DELVTO val gt OPTION WL Mxxx nd ng ns lt nb gt mname lt width gt lt length gt lt other_options gt Parameter Description M Xxx MOSFET element name Must begin with M followed by up to 1023 alphanumeric characters nd Drain terminal node name ng Gate terminal node name ns Source terminal node name nb Bulk terminal node name which is optional To set this argumentin the MOSFET model use the BULK parameter mname MOSFET model name reference HSPICE 8 Simulation and Analysis User Guide 97 Y 2006 03 Chapter 4 Elements Active Elements 98 Parameter Description L W AD AS PD PS NRD NRS RDC RSC OFF IC vds vgs vbs MOSFET channel length in meters This parameter overrides OPTION DEFL with a maximum value of 0 1m Default DE FL MOSFET channel width in meters This parameter overrides OPTION DEFW Default DE F W Drain diffusion area Overrides OPTION DEFAD Default DE FAD if you set the ACM 0 model parameter Source diffusion area Overrides OPTION DEFAS Default DEFAS if
471. ode voltages for nodes whose names start with x at the second level and all levels below the second level For example x1 x2 a xab xdff in PRINT v x HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition Match all first level nodes with names that are exactly two characters long For example x1 in x4 12 PRINT v x In HSPICE RF printthe logic state of all top level nodes whose names start with b For example b1 b2 b3 b56 bac LPRINT 1 4 b Element Instance and Subcircuit Naming Conventions Instances and subcircuits are elements and as such follow the naming conventions for elements Element names in HSPICE or HSPICE RF begin with a letter designating the element type followed by up to 1023 alphanumeric characters Element type letters are R for resistor C for capacitor M for a MOSFET device and so on see Element and Source Statements on page 41 Subcircuit Node Names HSPICE assigns two subcircuit node names Toassign the first name HSPICE or HSPICE RF uses the extension to concatenate the circuit path name with the node name for example X1 XBIAS M5 Node designations that start with the same number followed by any letter are the same node For example 1c and 1d are the same node The second subcircuit node name is a unique number that HSPICE automatically assigns to an input netlis
472. ode2 capacitor node 1 node2 nodel I1 L1 I1 C1 CTS I2 L1 I2 C1 Y node2 Figure 31 Diode node1 node2 11 o1 nodel anode P type node I2 oP node2 anode N type node 254 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters Figure 32 JFET node1 node2 node3 n channel nodel drain node l 11 J1 node2 gate node 12 J 1 node2 source node 13 J 1 Figure 33 MOSFET node1 node2 node3 node4 n channel nodel drain node 11 M1 node4 substrate node node2 gate node 14 M1 12 M1 node3 source node 13 M1 Figure 34 BJT node1 node2 node3 node4 npn node1 collector node 11 Q1 node2 base node y node4 substrate node 12 Q1 _ j 14 Q1 node3 emitter node Y g3 Q1 HSPICE 8 Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters Current Subcircuit Pin Syntax ISUB X n deepest Example PROBE ISUB X1 PIN1 Power Output For power calculations HSPICE or HSPICE RF computes dissipated or stored power in each passive element R L C and source V I G E F and H To compute this power HSPICE or HSPICE RF multiplies the voltage across an element and its corresponding branch current However for semicond
473. oefficient GammaO pt and is made as robust as possible Output Format for Two Port Noise Parameters in sc Files The output of two port noise parameter data in sc files are slightly modified The tabulated data appears with the following quantities in the following order 2 port noise parameters frequency Fmin dB GammaOpt M GammaOpt P RN ZO data Where Fmin dB is the minimum noise figure dB GammaOpt M is the magnitude of reflection coefficient needed to realize Fmin GammaOpt P is the phase degrees of reflection coefficient needed to realize Fmin RN ZOis the normalized noise resistance Both GammaOpt and RN ZO values are normalized with respect to the characteristic impedance of the port 1 element for example Z01 HSPICE 8 Simulation and Analysis User Guide 369 Y 2006 03 Chapter 11 Linear Network Parameter Analysis RF Measurements From LIN 370 VSWR The Voltage Standing Wave Ratio represents the ratio of maximum to minimum voltages along a standing wave pattern due to a port s impedance mismatch All ports other than the port of interest terminate in their characteristic impedances VSWR is a real number related to that port s scattering parameter 1 Sii VSWR i FIRST Iii ZIN i The Input Impedance at the port is the complex impedance into a port with all other ports terminated in their appropriate characteristic impedance It is related to that port s scatterin
474. of frequency see Figure 50 on page 344 HSPICE or HSPICE RF first solves forthe DC operating point conditions It then uses these conditions to develop linear small signal models for all non linear devices in the circuit Figure 50 AC Small Signal Analysis Flow Simulation Experiment DC Transient AC Other AC analysis AC small signal statements simulation NOISE DISTO SAMPLE l NETWORK v OPTION Method DC options to solve operating point ABSH ACOUT DI MAXAMP RELH UNWRAP In HSPICE or HSPICE RF the output of AC Analysis includes voltages and currents HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 10 AC Sweep and Small Signal Analysis AC Small Signal Analysis HSPICE or HSPICE RF converts capacitor and inductor values to their corresponding admittances yc joC for capacitors yp for inductors joL Resistors can have different DC and AC values If you specify Ac lt value gt in a resistor statement HSPICE or HSPICE RF uses the DC value of resistance to calculate the operating point but uses the AC resistance value in the AC analysis When you analyze operational amplifiers HSPICE or HSPICE RF uses a low value for the feedback resistance to compute the operating point for the unity gain configuration You can then use a very large value for the AC resistance in AC analysis of the open loop configuration
475. ollowing two instantiations of the module are valid nmos trise 5 ml d d g g s s b b nmos dtemp 5 m2 d d g g s s b b And the value ofthe parameter dtemp as used in the module equations for both instances is 5 real Continuous numerical type real real name real name string String parameters can be declared Strings are useful for parameters parameters thatactas flags where the correspondence between numerical values and the flag values may not be obvious The set of allowed values for the string can be specified as a comma separated list of strings inside curly braces Analog Operators and Filters Analog operators and filters maintain memory states of past behavior They can not be used in an analog function Table 48 Verilog A Analog Operators and Filters Operator Description Time derivative The ddt operator computes the time derivative of its argument ddt expr Time integral The idt operator computes the time integral of its argument idt expr ic assert abstol HSPICE 8 Simulation and Analysis User Guide 399 Y 2006 03 Chapter 12 Using Verilog A Introduction to Verilog A 400 Table 48 Verilog A Analog Operators and Filters Continued Operator Description Derivative Linear time delay Discrete waveform filters Laplace transform filters Z transform filters The ddx operator provides access to symbolically computed part
476. omment This is a comment line MODEL n1 NMOS this is an example of an inline comment This is a comment line and the following line is a continuation LEVEL 23 Element and Source Statements Element statements describe the netlists of devices and sources Use nodes to connect elements to one another Nodes can be either numbers or names Element statements specify Type of device Nodes to which the device is connected m Operating electrical characteristics of the device Element statements can also reference model statements that define the electrical parameters of the element HSPICE 8 Simulation and Analysis User Guide 41 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition Table 7 lists the parameters of an element statements Table7 Element Parameters Parameter Description elname Element name that cannot exceed 1023 characters and must begin with a specific letter for each element type B IBIS buffer C Capacitor D Diode E F G H Dependent current and voltage sources Current inductance source J JFETOorMESFET K Mutual inductor L Inductor model or magnetic core mutual inductor model M MOSFET Q BJT P Port R Resistor S T U WTransmission line V Voltage source X Subcircuit call nodel Node names identify the nodes that connect to the element The node name begins with a letter and can contain a maximum of 1023 characters You cannot use the following cha
477. on Output Variables FFT Statement Display Options Transient FOUR i i FFT Time sweep simulation Output Variable i Display Option Other Window Format HSPICE provides two different Fourier analyses but HSPICE RF does not support either type of Fourier analysis FOUR is the same as is available in SPICE 2G6 a standard fixed window analysis tool The FOUR statement performs a Fourier analysis as part of the transient analysis FFTis a much more flexible Fourier analysis tool Use it for analysis tasks that require more detail and precision HSPICE 8 Simulation and Analysis User Guide Y 2006 03 339 Chapter 9 Transient Analysis Fourier Analysis 340 Accuracy and DELMAX For better accuracy set small values for OPTION RMAX Or OPTION DELMAX For maximum accuracy set OPTION DELMAX to period 500 For circuits with very high resonance factors high Q circuits such as crystal oscillators tank circuits and active filters set DEL MAX to less than period 500 Fourier Equation The total harmonic distortion is the square root of the sum of the squares of the second through ninth normalized harmonic times 100 expressed as a percent 1 2 9 irap zs THD zi gt R2 100 m 2 This interpolation can result in
478. on Pattern PAT function Pseudo Random Bit Generator Source PRBS function HSPICE also provides a data driven version of Pwr not supported in HSPICE RF If you use the data driven PWL you can reuse the results of an experiment or of a previous simulation as one or more input sources for a transient simulation If you use the independent sources supplied with HSPICE or HSPICE RF you can specify several useful analog and digital test vectors for steady state time domain or frequency domain analysis For example in the time domain you can specify both current and voltage transient waveforms as exponential sinusoidal piecewise linear AM or single sided FM functions Trapezoidal Pulse Source HSPICE or HSPICE RF provides a trapezoidal pulse source function which starts with an initial delay from the beginning of the transient simulation interval to an onset ramp During the onset ramp the voltage or current changes linearly from its initial value to the pulse plateau value After the pulse plateau the voltage or current moves linearly along a recovery ramp back to its initial value The entire pulse repeats with a period named per from onset to onset HSPICE 8 Simulation and Analysis User Guide 129 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions 130 Syntax Vxxx n n PU lt LSE gt lt gt vl v2 td tr tf pw lt per gt gt gt gt gt lt gt Ixxx n n PU LSE vi
479. on output listing example mt0 Post processor output for MEASURE statements example pa0 S ubcircuit path table example stO Run time statistics example trO Post processor output for transient analysis The following subsections show text files to simulate the amplifier by using HSPICE on a Sun workstation The example does not show the two post processor output files which are in binary format HSPICE 8 Simulation and Analysis User Guide 589 Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using AvanWaves Example ic Simulator HSPICE version 98 4 981215 format HSP rundate 13 58 43 01 08 1999 netlist example sp runtitle example hspice netlist using a linear cmos amplifier time 0 temperature 25 0000 BEGIN Saved Operating Point option gmindc 1 0000p nodeset clamp 2 6200 ne 0 infilt 2 6200 inviout 2 6200 inv2out 2 6199 vdd 5 0000 d END Saved Operating Point ete te tt Example lis Using net sleepy 10 group hspice 98 4beta sol4 hspice HSPICE 98 4 981215 13 58 43 01 08 1999 solaris Copyright C 1985 2002 by Synopsys Corporation Unpublished rights reserved under US copyright laws This program is protected by law and is subject to the terms and conditions of the license agreement found in afs rtp synopsys com product hspice current license txt Use of this program is your acceptance to b
480. ond harmonic distortion examl sp FFT analysis AM source exam3 sp FFT analysis high frequency signal detection test exam4 sp FFT analysis small signal harmonic distortion test exp sp FFT analysis exponential source fft sp FFT analysis transient sweeping a resistor fftl sp FFT analysis transient fft2 sp FFT analysis on the product of three waveforms fft3 sp FFT analysis transient sweeping frequency fft4 sp FFT analysis transient Monte Carlo Gaussian distribution fft5 sp FFT analysis data driven transient analysis fft6 sp FFT analysis sinusoidal source gauss sp FFT analysis Gaussian window hamm sp FFT analysis Hamming window hann sp FFT analysis Hanning window harris sp FFT analysis Blackman Harris window intermod sp FFT analysis intermodulation distortion kaiser sp FFT analysis Kaiser window mod sp FFT analysis modulated pulse pulse sp FFT analysis pulse source HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input Files File Name Description pwl sp FFT analysis piecewise linear source rect sp FFT analysis rectangular window rectan sp FFT analysis rectangular window sffm sp FFT analysis single frequency FM source sine sp FFT analysis sinusoidal source swcap5 sp FFT analysis fifth order elliptic switched capacitor filter tri sp FFT analysis rectangular window win sp FFT analysis window test window
481. ons you can also apply these examples to HSPICE RF The first example uses AvanWaves to view results The second example uses CosmosScope Simulation Example Using AvanWaves Input Netlist and Circuit This example is based on demonstration netlist example sp which is available in directory lt installdir gt demo hspice bench This example is an input netlist for a linear CMOS amplifier Comment lines indicate the individual sections of the netlist Example HSPICE netlist using a linear CMOS amplifier netlist options option post probe brief nomod defined parameters param analog voltage 1 0 global definitions global vdd source statements Vinput in gnd SIN 0 0v analog voltage 10x Vsupply vdd gnd DC 5 0v circuit statements HSPICE 8 Simulation and Analysis User Guide 587 Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using AvanWaves Rinterm in gnd 51 Cincap in infilt 0 001 Rdamp infilt clamp 100 Dlow gnd clamp diode mod Dhigh clamp vdd diode mod Xinvl clamp invlout inverter Rpull clamp inviout 1x Xinv2 invlout inv2out inverter Routterm inv2out gnd 100x subcircuit definitions Subckt inverter in out Mpmos out in vdd vdd pmos mod l1 1u w 6u Mnmos out in gnd gnd nmos mod l 1u w 2u ends model definitions model pmos mod pmos level 3 model nmos mod nmos level 3 model diode mod d analysis specifications TRAN 10n 1u sweep analog voltage lin 5 1 0 5 0
482. onversion factor transfactor Voltage to resistance conversion factor VCCAP Keyword for voltage controlled capacitance element VCCAP is a reserved HSPICE keyword do not use it as a node name VCCS Keyword for the voltage controlled current source VCCS is a reserved HSPICE keyword do not use it as a node name VCR Keyword for the voltage controlled resistor element VCR is a reserved HSPICE keyword do not use it as a node name xl Controlling voltage across the in and in nodes Specify the x values in increasing order y1 Corresponding element values of x G Element Examples Switch A voltage controlled resistor represents a basic switch characteristic The resistance between nodes 2 and 0 varies linearly from 10 meg to 1 m ohms when voltage across nodes 1 and 0 varies between 0 and 1 volt The resistance remains at 10 meg when below the lower voltage limit and at1m ohms when above the upper voltage limit Gswitch 2 0 VCR PWL 1 1 0 Ov 10meg 1v im Switch Level MOSFET To model a switch level n channel MOSFET use the N piecewise linear resistance switch The resistance value does not change when you switch the d and s node positions HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage Dependent Current Sources G Elements Gnmos d s VCR NPWL 1 g s LEVEL 1 0 4v 150g 1v 10meg 2v 50k 3v 4k 5v 2k Voltage Controlled Capacitor The capacitance value across the
483. or 3 sigma extreme for every parameter is probably not a good solution from the point of view of economy HSPICE 8 Simulation and Analysis User Guide 575 Y 2006 03 Appendix A Statistical Analysis Worst Case and Monte Carlo Sweep Example Figure 110 Superimposing Sigma Sweep Over Monte Carlo Monte Carla Results 3 m_delay 3 0m m_power m_delay o 8 0m Zim 3 s delay T s power s delay 6 0m Pm 5 0m 4 0m 100p 200p 300p 400p m delav C 1T T 1 100p 200p 300p ARIE s delay Figure 111 superimposes the required part grades for product sales onto the Monte Carlo plot This example uses a 250 ps delay and 6 0 mW power dissipation to determine the four binning grades 576 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Worst Case and Monte Carlo Sweep Example Figure 111 Speed Power Yield Estimation Monte Carla Results 3 m delay m powerim delay o Sorting the results from inv mt1 yields Binl 18 Bin2 30 Bin3 31 6Bin4 21 If this circuit is representative of the entire chip then the present yield should be 18 for the premium Bin 1 parts assuming variations in process parameters as specified in the netlist Of course this example only shows the principle on how to analyze the Monte Carlo results there is no market for a device with two of these inverters HSPICE 8 Simulation and Analysis User Guide 577 Y 2006 03 A
484. or HSPICE RF Nodal Capacitance Output Syntax Cap nxxx For nodal capacitance output HSPICE prints or plots the capacitance of the specified node nxxxx HSPICE amp Simulation and Analysis User Guide 251 Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters Example print dc Cap 5 Cap 6 Nodal Voltage Syntax V ni n2 Parameter Description n1 n2 HSPICE or HSPICE RF prints or plots the voltage difference n1 n2 between the specified nodes If you omit n2 HSPICE or HSPICE RF prints or plots the voltage difference between n1 and ground node 0 Current Independent Voltage Sources Syntax I Vxxx Parameter Description Vxxx Voltage source element name If an independent power supply is within a subcircuit then to access its current output append a dotand the subcircuit name to the element name For example I X 1 V xxx Example PLOT TRAN I VIN PRINT DC I X1 VSRC PLOT DC I XSUB XSUBSUB VY Current Element Branches Syntax In Wwww Iall Wwww 252 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters Parameter Description n Node position number in the element statement For example if the element contains four nodes I3 is the branch current output for the third node If you do not specify n HSPICE or HSPICE RF assumes the first node Wwww Element name To access
485. or an sp1 parameter definition and use the str sp1 keyword for a string parameter instance Example The following sample netlist shows an example of how you can use these definitions for various commands keywords parameters and elements xibis1 vccq vss out in IBIS IBIS FILE str filel ibs IBIS MODEL str modell xibis2 vccq vss out in IBIS IBIS FILE str file2 ibs IBIS MODEL str model2 subckt IBIS vccq vss out in IBIS_FILE str file ibs IBIS MODEL str ibis model ven en 0 vcc BMCH vccq vss out in en v0dq0 vccq vss buffer 3 file str IBIS FILE model str IBIS MODEL typ typ ramp rwf 2 ramp fwf 2 power on ends HSPICE can now support these kinds of definitions and instances with the following netlist components PARAM Statements SUBCKT Statements FOMODEL keywords S Parameters FILE and MODEL keywords HSPICE 8 Simulation and Analysis User Guide 237 Y 2006 03 Chapter 6 Parameters and Functions Parameter Scoping and Passing 238 B Elements RLGCFILE UMODEL FSMODEL RLGCMODEL TABLEMODEL and SMODEL keywords in the W Element Parameter Defaults and Inheritance Use the OPTION PARHIER parameter to specify scoping rules Syntax OPTION PARHIER GLOBAL LOCAL gt The default setting is GLOBAL Example This example explicitly shows the difference between local and global scoping for using parameters in subcircuits The input netlist includes the following OPTION
486. or the syntax of pulse sources and other types of sources see Chapter 5 Sources and Stimuli To run HSPICE type the following hspice quickTRAN sp gt quickTRAN lis To examine the simulation results and status use an editor and view the lis and st0 files Run AvanWaves and open the sp file To view the waveform select the quickTRAN trO file from the R esults Browser window Display the voltage at nodes 1 and 2 on the x axis Figure 43 shows the waveforms Figure 43 Voltages at RC Network Circuit Node 1 and Node 2 A simple transient 5 0 4 0 3 0 2 0 1 0 i posee 0 200 0n 400 0n 600 0n 800 0n 1 0u 1 20u 1 40u 1 60u 1 80u 2 0u time CL n HSPICE amp Simulation and Analysis User Guide 319 Y 2006 03 Chapter 9 Transient Analysis Overview of Transient Analysis 320 Transient Analysis of an Inverter As a final example you can analyze the behavior of the simple MOS inverter shown in Figure 44 Figure 44 MOS Inverter Circuit VCC vcc M1 bil ro IN pz OUT CLOAD Li C T 0 75 pF M2 Follow these steps to analyze this behavior 1 Type the following netlist data into a file named quickINV sp Inverter Circuit OPTION LIST NODE POST TRAN 200P 20N PRINT TRAN V IN V OUT M1 OUT IN VCC VCC PCH L 1U W 20U M2 OUT IN 00 NCH L 1U W 20U VCC VCC 0 5 VIN IN
487. ot iter 1900 conv iter 514 tran time 9 01178E 07 tot iter 2161 conv iter 585 tran time 1 00000E 06 tot iter 2410 conv iter 650 sweep tran tran5 end cpu clock 7 03E 00 memory 155 kb sweep parameter gt info parameter 1 end hspice job concluded lic Release hspice token s Simulation Graphical Output in AvanWaves The plots in Figure 113 through Figure 118 on page 602 show the six different post processor outputs from the simulation of the example netlist These plots are postscript output from the actual data in AvanWaves a Synopsys graphical waveform viewer HSPICE Simulation and Analysis User Guide Y 2006 03 n y T a n gma n r pS Appendix B Full Simulation Examples Simulation Example Using AvanWaves Figure 114 Plot of Voltage on Node clamp vs Time 598 Vote AT MER C lin T AAA AAA ARE Ain Ui i coy eee dn o A fon din Bor g g5 MEn IMn XUn dn tan iius Ai bin Win Tele 3007 43m Pen n Wu Tree MEI HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using AvanWaves Figure 115 Plot of Voltage on Node inv1out vs Time HSPICE 8 Simulation and Analysis User Guide Y 2006 03 ob o S 4B m D 8 43 xs i TA a 1B 18 a ERE EE 1a ls 9 9 4 i i ia on R11 Ha ji a i 1 ncn An TAEI iT ME 599 Appendix B Full Simulation Examples Si
488. ot iterz951 conv iter 273 tran time 5 01086E 07 tot iter 1250 conv iter 353 tran time 6 00965E 07 tot iter 1541 conv iter 432 tran time 7 03668E 07 tot iter 1805 conv iter 506 tran time 8 01114E 07 tot iter 2046 conv iter 571 tran time 9 01005E 07 tot iter 2308 conv iter 640 tran time 1 00000E 06 tot iter 2528 conv iter 703 sweep tran tran4 end cpu clock 5 83E 00 memory 155 kb parameter analog voltage 5 00E 00 dcop begin dcop dcop end dcop analog voltage cpu clock 3 71E 00 Appendix B Full Simulation Examples tot iter 1161 tot iter 1306 tot iter 1481 tot iter 1654 cpu clock 3 71E 00 memory 155 kb 3 00E 00 cpu clock 5 83E 00 HSPICE Simulation and Analysis User Guide Y 2006 03 conv_iter 340 conv_iter 383 conv_iter 436 conv_iter 486 cpu clock 5 84E 00 memory 155 kb Simulation Example Using AvanWaves 595 Appendix B Full Simulation Examples Simulation Example Using AvanWaves 596 tot iter 23 output example mt0 sweep tran tran5 begin stop t 1 00E 06 sweeps 101 cpu clock 5 84E 00 tran time 1 00195E 07 tot_iter 176 conv_iter 47 tran time 2 00617E 07 tot_iter 431 conv_iter 115 tran time 3 00475E 07 tot_iter 661 conv iter 176 tran time 4 00719E 07 tot iter 914 conv iter 246 tran time 5 04084E 07 tot iter 1157 conv iter 311 tran time 6 00666E 07 tot iter 1347 conv iter 363 tran time 7 01830E 07 tot iter 10623 conv iter 435 tran time 8 02418E 07 t
489. ou instantiate a module that has a vector port the connections to individual bus signals in the HSPICE netlist must be specified The Verilog A module internally expands the vector port and connects them to the signals inside the Verilog A module Example Given a Verilog A module with a vector port defined module d2a in out electrical 1 4 in electrical out analog 414 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices Its instantiation in HSPICE could be x1 inl in2 in3 in4 o1 d2a In this case the nodes inl through in4 are mapped to ports in 1 gt in 4 respectively If the bus in Verilog A module is specified as electrical 4 1 then the signals would be connected as inl in4 to in 4 gt in 1 respectively Using Integer Parameters HSPICE netlist parameters are all of type real When an integer Verilog A parameter is assigned a real value it is coerced to an integer value Implicit Parameter M Support Verilog A supports the multiplicity factor A Verilog A device can have parameter that is not device specific M Multiplicity factor If a loaded Verilog A module has parameter with the name of either M or m then that module parameter cannot be set in the instance line The M or m parameter in the instance line always means the Multiplicity factor parameter and the appropriate multiplicity factor is applied to the Verilog
490. output specifications probe TRAN v in v clamp v inviout v inv2out i dlow measure TRAN falltime TRIG v inv2out VAL 4 5v FALL 1 TARG V inv2out VAL 0 5v FALL 1 end Figure 112 on page 589 is a circuit diagram for the linear CMOS amplifier in the circuit portion of the netlist The two sources in the diagram are also in the netlist Note The inverter symbols in the circuit diagram are constructed from two complementary MOSFET elements Also the diode and MOSFET models in the netlist do not have non default parameter values except to specify Level 3 MOSFET models empirical model 588 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using AvanWaves Figure 112 Circuit Diagram for Linear CMOS Inverter Analog 45V Source O i10MOhm 10 MHz AAA 1V to 5V 0 001 F N Output A x ES C Jic Y pe 100 Ohm 7 N 100 MOhm 51 Ohm Execution and Output Files The following section displays the output files from a HSPICE simulation of the amplifier shown in the previous section To execute the simulation enter hspice example sp example lis In this syntax the input netlist name is example sp and the output listing file name is example lis Simulation creates the following output files Table 61 HSPICE Output Files Filename Description example ic Initial conditions for the circuit example lis Text simulati
491. ovide access to system level tasks as well as access to simulator information Table 54 Verilog A System Tasks and I O Functions Function Description param given Returns 1 if the parameter was overridden by a module instance parameter value assignment and 0 otherwise 404 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Introduction to Verilog A Table 54 Verilog A System Tasks and I O Functions Continued Function Description table model Models behavior of a system by interpolating between data points that are samples of that system s behavior strobe Displays simulation data when the simulator has converged on a display solution for all nodes using a printf style format write strobe args Opens a file for writing and assigns itto an associated channel fopen multi channel desc fopen file fclose Closes a file from a previously opened channel s fclose multi channel descriptor fstrobe Writes simulation data to an opened channel s when the fdisplay simulator has converged Follows format for strobe fwrite Sfstrobe multi channel descriptor dist_functions debug random information to be written Probabilistic distribution functions Provides the capability to display simulation data while the analog simulator is solving the equations Provides a mechanism for generating random numbers random function Srandom seed
492. ower x e db x decibels math Returns the base 10 logarithm of the absolute value of x multiplied by 20 with the sign of x sign of x 20log o x int x integer math Returns the integer portion of x The fractional portion of the number is lost nint x integer math Rounds x up or down to the nearest integer sgn x return sign math Returns 1 if x is less than 0 Returns 0 if x is equal to 0 Returns 1 if x is greater than 0 sign X y transfer sign math Returns the absolute value of x with the sign of y sign of y x min x y smaller of control Returns the numeric minimum of x and y two args max x y largeroftwo control Returns the numeric maximum of x and y args val element get value various Returns a parameter value for a specified element For example val r1 returns the resistance value of the r1 resistor 230 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 6 Parameters and Functions Built In Functions and Variables Table 18 Synopsys HSPICE Built in Functions Continued HSPICE Form Function Class Description val element get value various Returns a value for a specified parameter of a parameter specified element For example val rload temp returns the value of the temp temperature parameter for the rload element val model type getvalue various Returns a value for a specified parameter of a model name specified model of a specific type For example model param val nmos mos1 r
493. owing is an example of replacing sources with digital inputs This example is based on demonstration netlist digin sp which is available in directory lt installdir gt demo hspice cchar EXAMPLE OF U ELEMENT DIGITAL OUTPUT OPTION POST VOUT carry out GND PWL ON OV 10N OV 11N 5V 19N 5V 20N OV 30N OV 31N 5V 39N 5V 40N OV VREF REF GND DC 0 0V UCO carry_out REF A2D SIGNAME 12 R1 REF O 1k DEFAULT DIGITAL OUTPUT MODEL no X value HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Replacing Sources With Digital Inputs MODEL A2D U LEVEL 4 TIMESTEP 0 1NS TIMESCALE 1 SONAME 0 SOVLO 1 SOVHI 2 7 SANAME 1 SAVLO 1 4 SAVHI 9 0 CLOAD 0 05pf TRAN 1N 500N END The digital output file should look something like this 12 0 0 1 105 ql 197 0 1 305 qe 397 0 1 12 represents the signal name The first column is the time in units of 0 1 nanoseconds m The second column has the signal value name pairs This file uses more columns to represent subsequent outputs Also this example based on demonstration netlist tdgtl sp which is available in directory lt installdir gt demo hspice cchar file mos2bit sp adder 2 bit all nand gate binary adder options post nomod fast scale 1u gmindc 100n param Ilmin 1 25 hi 2 8v lo 4v vdd 4 5 global vdd tran 5ns 60ns meas prop delay trig v carry in td 10ns val vdd 5 rise 1 targ v c 1 td 10ns
494. p rsh rshp 1d 0 08u wd 0 2u acm 2 ldifz0 hdif 2 5u rs 0 rd 0 rdc 0 rsc 0 rsh rshp js 3e 04 jsw 9e 10 4 4 transient with sweep tran 20p 1 0n sweep sigma 3 3 5 meas s delay trig v 2 val vref fall 1 targ v out val vref fall 1 meas s power rms power transient with Monte Carlo tran 20p 1 0n sweep monte 100 meas m delay trig v 2 val vref fall 1 targ v out val vref fall 1 meas m power rms power probe tran v in v 1 v 2 v 3 v 4 end HSPICE amp Simulation and Analysis User Guide 569 Y 2006 03 Appendix A Statistical Analysis Worst Case and Monte Carlo Sweep Example Transient Sigma Sweep Results The plot in Figure 104 shows the family of transient analysis curves for the transient sweep of the sigma parameter from 3 to 3 from the file inv trO In the sweep HSPICE uses the values of sigma to update the skew parameters which in turn modify the actual NMOS and PMOS models Figure 104 Sweep of Skew Parameters from 3 Sigma to 3 Sigma 3 Sigma Skew Results 0 0 100p 200p 300p 400p 500p tis To view the measured results plot the inv mtO output file The plotin Figure 105 shows the measured pair delay and the total dissipative power as a function of the parameter sigma 570 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Worst Case and Monte Carlo Sweep Example Figure 105 Sweep MOS Inverter Pair Delay and Power 3 Sigma to 3 Sigma
495. pe Description attribute A mechanism for specifying properties about objects statements and groups of statements that may be used to control the operation or behavior of the tool attr spec attr spec genvar S pecial integer valued variable for behavioral looping constructs genvar genvar name genvar name integer Discrete numerical type local integer integer name integer name Identified by the localparam keyword local parameters are identical to parameters parameters except that they cannot directly be modified with the defparam statement or by the ordered or named parameter value assignment Local parameters can be assigned to a constant expression containing a parameter that can be modified with the defparam statement or by the ordered or named parameter value assignment HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Introduction to Verilog A Table 47 Verilog A Data Types Continued Data Type Description parameter Attribute that indicates data type is determined at module instantiation parameter integer real param_name default_value from range_begin range_end exclude exclude value or range parameter Aliases can be defined for parameters This allows an alternate name aliases to be used when overriding module parameter values for example parameter real dtemp 0 from P CELSIUSO inf aliasparam trise dtemp Then the f
496. pes The correct value of in rm1 should be l length 6 100u The value should not be derived from a measured value in transient analysis FIND and WHEN Functions The FIND and WHEN functions of the MEASURE statement specify to measure Any independent variables time frequency parameter Any dependent variables voltage or current for example Derivative of a dependent variable if a specific event occurs You can use these measure statements in unity gain frequency or phase measurements You can also use these statements to measure the time frequency or any parameter value When two signals cross each other When a signal crosses a constant value The measurement starts after a specified time delay TD To find a specific event set RISE FALL Or CROSS to a value or parameter or specify LAST for the last event LAST is a reserved word you cannot use it as a parameter name in the above measure statements For definitions of parameters of the measure statement see Displaying Simulation Results on page 243 Equation Evaluation Use the Equation Evaluation form of the MEASURE statement to evaluate an equation that is a function of the results of previous MEASURE statements The equation must not be a function of node voltages or branch currents The expression option is an arithmetic expression that uses results from other prior MEASURE Statements If equation or expression includes node voltages or branch
497. point HSPICE generates a result record that includes setup information total variations and a table with the sorted contributions of the relevant devices The individual entries are sweep or operating points for which the table is generated name of the output variable DC value of this output variable values used for DC match options output sigma due to combined global and local variations lo 2 0 j global local results for global variations number of devices that had no global variability specified output sigma due to global variations table with parameter contributions contribution sigma volts or amperes contribution variance for ith parameter in percent sigma i Cn 2 x 100 y sigma k 1 cumulative variance through ith parameter in percent Yisigma k 1 ama EIU Y sigma 1 results for local variations number of devices that had no local variability specified output sigma due to local variations number of devices that had local variance contributions below the threshold value and were not included in the table HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 16 DC Mismatch Analysis DCmatch Analysis e table with sorted device contributions Contribution sigma in volts or amperes Values below 100nV or 1PA are rounded to zero to avoid reporting numerical noise contribution variance for the ith device in percent sigma i x 100 Y sigma 1 The parameter
498. popl sp plot MOS capacitances LEVEL 2 capop2 sp plot MOS capacitances LEVEL 2 capop4 sp plot MOS capacitances LEVEL 6 chrgpump sp charge conservation test LEVEL 3 liplot sp plot of impact ionization current ml6fex sp plot temperature effects LEVEL 6 ml13fex sp plot temperature effects LEVEL 13 ml13ft sp s parameters for LEVEL 13 ml13iv sp plot I V for LEVEL 13 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input Files File Name Description ml27iv sp plot I V for LEVEL 27 SOSFET mosiv sp plot I V for files that you include mosivcv sp plot I V and C V for LEVEL 3 qpulse sp charge conservation test LEVEL 6 qswitch sp charge conservation test LEVEL 6 selector sp automatic model selector for width and length tgam2 sp LEVEL 6 gamma model tmos34 sp MOS LEVEL 34 EPFL test DC Radiation Effects installdir demo hspice rad bradl sp example of bipolar radiation effects brad2 sp example of bipolar radiation effects brad3 sp example of bipolar radiation effects brad4 sp example of bipolar radiation effects brad5 sp example of bipolar radiation effects brad6 sp example of bipolar radiation effects dradl sp example of diode radiation effects drad2 sp example of diode radiation effects drad4 sp example of diode radiation effects drad5 sp example of diode radiation effects drad6 sp example of diode radia
499. port Center in the following ways Open a call to your local support center from the Web by going to http solvnet synopsys com E nterACall Synopsys user name and password required Send an e mail message to your local support center e E mail support center synopsys com from within North America e Find other local support center e mail addresses at http www synopsys com support support ctr Telephone your local support center Call 800 245 8005 from within the continental United States Call 650 584 4200 from Canada Find other local support center telephone numbers at http www synopsys com support support ctr HSPICE 8 Simulation and Analysis User Guide Xxix Y 2006 03 About This Manual Customer Support XXX HSPICE Simulation and Analysis User Guide Y 2006 03 1 Overview Describes HSPICE features and the simulation process Synopsys HSPICE is an optimizing analog circuit simulator You can use it to simulate electrical circuits in steady state transient and frequency domains HSPICE or HSPICE RF is unequalled for fast accurate circuit and behavioral simulation It facilitates circuit level analysis of performance and yield by using Monte Carlo worst case parametric sweep and data table sweep analyses and employs the most reliable automatic convergence capability see Figure 1 Figure 1 Synopsys HSPICE or HSPICE RF Design Features Transmission Line Monte Carlo Sign
500. ported in HSPICE RF Digital to Analog Input Model Parameters Table 12 Digital to Analog Parameters Names Alias Units Default Description GLO farad 0 Capacitance to low level node CHI farad 0 Capacitance to high level node SONAME State 0 character abbreviation A string of up to four alphanumerical characters HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Digital and Mixed Mode Stimuli Table 12 Digital to Analog Parameters Continued Description Names Alias Units Default SOTSW sec SORLO ohm S OR HI ohm S1NAME SITSW sec S1IRLO ohm S1RHI ohm S19NAME S19TSW sec S19RLO ohm S19RHI ohm TIMESTEP sec State 0 switching time State 0 resistance to low level node State 0 resistance to high level node State 1 character abbreviation A string of up to four alohanumerical characters State 1 switching time State 1 resistance to low level node State 1 resistance to high level node State 19 character abbreviation A string of up to four alphanumerical characters State 19 switching time State 19 resistance to low level node State 19 resistance to high level node Step size for digital input files only To define up to 20 different states in the model definition use the S nNAME S nTSW SnRLO and S nRHI parameters where n ranges from 0 to 19 Figure 24 is the circuit representation of the element HSPICE 8 Simulation and Analysis
501. post defl 1 2u relv 1e 3 absvar 5 relvar 01 Circuit Input global 1gnd lvcc enb macro buff data out np1 nn 1 np2 nn2 np3 nn3 np4 on 4 DATAN DATA LVCC LVCC p w 35u DATAN DATA LGND LGND n w 17u BUS DATAN LVCC LVCC p w wp2 BUS DATAN LGND LGND n w wn2 PEN PENN LVCC LVCC p w wp3 PEN PENN LGND LGND n w wn3 NEN NENN LVCC LVCC p w wp4 NEN NENN LGND LGND n w wn4 OUT PEN LVCC LVCC p w wp5 1 1 8u OUT NEN LGND LGND n w wn5 1 1 8u np10 NENN BUS LVCC LVCC p w wp10 nn12 PENN ENB NENN LGND n nn10 PENN BUS LGND LGND n w wn10 npli NENN ENB LVCC LVCC p w wn10 w wp11 np12 NENN ENBN PENN LVCC p w wp11 nn11 PENN ENBN LGND LGND n w 80u npl3 ENBN ENB LVCC LVCC p w 35u nn13 ENBN ENB LGND LGND n w 17u cbus BUS LGND 1 5pf cpad OUT LGND 5 0pf input signals HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview vcc VCC GND 5V lvcc vee lvcc 6nh lgnd lgnd gnd 6nh vin DATA LGND pl 0v On 5v 0 7n vinb DATAbar LGND pl 5v On Ov 0 7n ven ENB GND 5V clroult x1 data out buff cextl out GND 50pf x2 databar outbar buff cext2 outbar GND 50pf Optimization Parameters param wp2 opt1 wn2 optl1 22u 15u 400u wp3 opt1 400u 100u 500u 70u 30u 330u wn3 opt1 190u 80u 580u wp4zopt1 670u 150u 800u wn4 opt1 370u 50u 500u wpe5 opt1 1200u 1000u 5000u wn5 opt1 600u 400u 2500u wplO0zopti 240u 150u
502. ppendix A Statistical Analysis Simulating the Effects of Global and Local Variations with Monte Carlo Simulating the Effects of Global and Local Variations with Monte Carlo 578 Monte Carlo analysis is dependent on a method to describe variability Four different approaches are available in HSPICE specify distributions on parameters and apply these to instance parameters specify distributions on parameters and apply these to model parameters Specify distributions on model parameters using DEV LOT construct specify distributions on model parameters in a variation block While the first three methods are still supported in HSPICE the method based on the variation block emphasized here for improvements and future developments The variation block is described in Chapter 14 Variation Block and Monte Carlo analysis controlled by the variation block is described in Chapter 15 Monte Carlo Analysis In the following sections the first three methods are described The description relies on test cases which can be found in the tar file monte testtar in directory lt installdir gt demo hspice apps Variations Specified on Geometrical Instance Parameters This method consists of defining parameters with variation using the distribution functions UNIF AUINF GAUSS AGAUSS and LIMIT These parameters are then used to generate dependent parameters or in the place of instance parameters In a Monte Carlo simulation at the beginning o
503. pter 15 Monte Carlo Analysis Simulation Output 452 The structure of this file is the same as for regular measure files In the header section the names of the parameters are presented as follows for global variation on model parameter Model_name parameter_name ID for local variation on model parameter Element_name model_name parameter_name ID for local variation on element parameter Element_name parameter_name ID Where ID is a string for identifying the type of the parameter as follows First character Second character Third character M Model G Global R Relative E Element L Local A Absolute Results for parameters that have absolute variation specified in the variation block are reported as absolute deviation from the nominal value Results for parameters that have relative variation specified are reported as a relative deviation in percent The printed value for parameter status is 1 fora successful simulation and 0 for a failed simulation For example a mcs file index snps20nGvth0GMGA snps20n u0 MGR xi82 mn1l snps20n vthO MLA xi82 mn1 snps20n u0 MLR xXi82 mn6 snps20n vthO MLA xi82 mn6 snps20n u0 MLR x182 r0 r ELR status alter 1 0000 0 0 0 0 0 0 0 deo 1 0000 2 0000 4 299e 02 6 285e 02 2 113e 04 2 354e 04 4 926e 05 4 965e 04 0 2185 1 0 1 0000 In this example the changes due to the global variations on parameters vthO absolute and u0 relative are reported first then the changes
504. ption of the G Element parameters see G Element Parameters on page 189 170 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Sti 0 TwS HSPICE 8 Simulation and Analysis User Guide 171 Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements freql noisel freq2 noise2 ge se foe enddata The data form defines a basic frequency noise table The DATA statement contains two parameters frequency and noise to specify the noise value at each frequency point The unit for frequency is hertz and the unit for noise is V2 Hz Ideal Op Amp Exxx n n OPAMP in in You can also substitute LEVEL 1 in place of OPAMP Exxx n n in in level 1 For a description of these parameters see E Element Parameters Ideal Transformer Exxx n n TRANSFORMER in in k You can also substitute LEVEL 2 in place of TRANSFORMER Exxx n n in in level 2 k For a description of these parameters see E Element Parameters 172 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements Figure 28 Equivalent VCVS and Ideal Transformer HSPICE Models 9 J4 z Ideal transformer with ratio K VCVS op amp with Gain g k 1 Equivalent HSPICE model Q V Vo lt gt V D 2 V 2 2 9 V2 O O Equivalent HSP ICE model l
505. put listing 18 status 19 pa 16 scratch files 21 st 16 subcircuit cross listing 19 Sw 15 tr 16 transient analysis measurement results 17 19 transient analysis results 19 files output 15 FIND keyword 270 first character descriptions 31 Foster pole residue form E element 170 G element 170 Fourier analysis 338 coefficients 340 equation 340 FREQ function 169 model parameter 246 frequency response table 169 185 variable 233 frequency table model 116 frequency dependent Index capacitor 75 inductor 86 FS option 336 FT option 335 336 ft file 15 17 functions A2D 199 built in 229 233 D2A 199 DERIVATIVE 271 ERR 272 INTEG 271 LAPLACE 167 185 NPWL 189 POLE 168 185 PPWL 189 table 229 See also independent sources G G Elements applications 157 controlling voltages 190 192 current 190 curve smoothing 191 element value multiplier 191 gate type 190 initial conditions 190 multiply parameter 190 names 190 polynomial 191 resistance 190 syntax statements 184 time delay keyword 192 transconductance 192 voltage to resistance factor 192 GaAsFET model DC optimization 489 gain calculating 262 GAUSS functions 562 keyword 557 parameter distribution 553 GEAR algorithm 330 global parameters 234 GMIN option 313 GMINDC option 302 313 GND node 47 625 Index GOAL keyword 467 gr file 16 17 GRAMP option 302 305 GRAPH statement 242 245 249 509 graphical user interface See GUI 611 ground nod
506. put nodes connect to the out1 and out2 output node Both signal references are grounded smod 1 references the S Model The transmission line is 10 meters long You can specify parameters in the W Element card in any order You can specify the number of signal conductors N after the node list You can also mix nodes and parameters in the W Element card You can specify only one of the RLGC ile FSmodel Umodel or Smodel models in a single W Element card Figure 13 shows node numbering for the element syntax 104 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Transmission Lines Figure 13 Terminal Node Numbering for the W Element lig N 1 conductor line 1 1 TP lizh Yi f ae 2 2 Li 4 2 N vh R f L f G f C f v2 vih Signal Conductors vb aee au SSS Vil v2 N t Reference conductor T 2 For additional information about the W element see the Modeling Coupled Transmission Lines Using the W Element chapter in the HSPICE Signal Integrity User Guide Lossless T Element General form Txxx in refin out refout Z0 val TD val lt L val gt IC vi ii1 v2 12 Txxx in refin out refout Z0 val F val lt NL val gt IC vi ii1 v2 12 U Model form Txxx in refin out refout mname L val Parameter Description Txxx Lossless transmission line element name Must begin with T followed
507. quency Dependent Multi Terminal S Element The S element uses the following parameters to define a frequency dependent multi terminal network SS scattering Y admittance Z impedance You can use an S elementin the following types of analyses DC AC Transient Small Signal For a description of the S parameter and SP model analysis see the S Parameter Modeling Using the S Element chapter in the HSPICE Signal Integrity Guide S Element Syntax HSPICE Sxxx nd1 nd2 ndN ndRef MNAME Smodel name FQOMODEL sp model name TYPE s y Zo value vector value FBASE base frequency FMAX maximum frequency PRECFAC val DELAYHANDLE 1 0 ON OFF HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Transmission Lines lt DELAYFREQ val gt lt INTERPOLATION STEP LINEAR SPLINE gt lt INTDATTYP RI MA DBA gt lt HIGHPASS value gt lt LOWPASS value gt lt MIXEDMODE 0 1 gt lt DATATYPE data_string gt lt DTEMP val gt NOISE 1 0 S Element Syntax HSPICE RF Sxxx ndi nd2 ndN ndR s model name S model Syntax HSPICE MODEL S model name S N dimension FOMODEL sp model name TSTONEFILE filename CITIFILE filename TYPE s yl Zo value vector value FBASE base frequency FMAX maximum frequency lt PRECFAC val gt DELAYHANDLE ON OFF lt DELAYFREQ val gt S Model Syntax HSPICE RF
508. quency for transient analysis Used as the maximum frequency point for Inverse Fast Fourier Transform IFFT PRECFAC P reconditioning factor to avoid a singularity infinite admittance matrix See Preconditioning S Parameters on page 117 Default 0 75 DELAYHANDLE Delay frequency for transmission line type parameters Default OF F lofON activates the delay handler See Group Delay Handler in Time Domain Analysis on page 116 OOfOFF default deactivates the delay handler You must set the delay handler if the delay of the model is longer than the base period specified in the FBASE parameter If you set DELAYHANDLE OFF but DELAY FQ is not zero HSPICE simulates the S element in delay mode DELAYFREQ Delay frequency for transmission line type parameters The default is FMAX If the DELAY HANDLE is set to OFF but DELAYFREQ is nonzero HSPICE still simulates the S element in delay mode INTERPOLATION The interpolation method STEP piecewise step SPLINE b spline curve fit LINEAR piecewise linear default HSPICE amp Simulation and Analysis User Guide 113 Y 2006 03 Chapter 4 Elements Transmission Lines Parameter Description INTDATTYP HIGHPASS LOWPASS MIXEDMODE DATATYPE 114 Data type for the linear interpolation of the complex data RI real imaginary based interpolation DBA dB angle based interpolation MA magnitude angle based interpolation default Specifies hig
509. r branch current and the magnitude and phase of a nodal voltage or branch current AC analysis results also print impedance parameters and input and output noise Element template analysis displays element specific nodal voltages branch currents element parameters and the derivatives of the element s node voltage current or charge The MEASURE statement variables define the electrical characteristics to measure in a MEASURE statement analysis or HSPICE RF Parametric analysis variables are mathematical expressions which operate on nodal voltages branch currents element template variables HSPICE only not supported in HSPICE RF or other parameters that you specify Use these variables when you run behavioral analysis of simulation results See Using Algebraic Expressions on page 228 or HSPICE RF Displaying Simulation Results The following section describes the statements that you can use to display simulation results for your specific requirements PRINT Statement The PRINT statement specifies output variables for which HSPICE or HSPICE RF prints values The maximum number of variables in a single PRINT statement was 32 before Release 2002 2 buthas been extended For example you can enter PRINT v 1 v 2 v 32 v 33 v 34 HSPICE amp Simulation and Analysis User Guide 243 Y 2006 03 Chapter 7 Simulation Output Displaying Simulation Results This function previously required two PRINT statem
510. r sometimes it is more appropriate to specify relative variations that are defined by appending a space and a 96 sign to the expression The simulator divides the result of the expression by 100 and multiplies by the original parameter value and the random number from the appropriate generator to calculate the change Example In this example the variation on the threshold parameter vtho is specified as absolute sigma of 80 or 70mV the variation on the mobility uo as relative 15 or 13 percent global variation nmos snps20N vth020 08 u0 15 pmos snps20P vth0 0 07 u0 13 end global variation oe oe Access Functions Certain local variations depend on element geometry as defined with parameters at instantiation The access function get E allows for using these parameters in expressions by using the following syntax get E element parameter Where element parameter is the name of an element parameter which must be defined on the instantiation line except for the DTEMP parameter This access function is only supported for local variations because it does not make sense to define global variations as a function of an element value in the context of semiconductor technology For example the variation on the threshold is specified as inversely proportional to the square root of the total area of the device as calculated from the product of the element parameters w L and M nmos nch vth0 2 1 234e 9 sqrt get E W get E L
511. r 11 Linear Network Parameter Analysis NET Parameter Analysis Figure 59 S11 Magnitude and Phase Plots S11 LIN BAND PASS NETLIST HSPICE NETWORK ANALYSIS RESULTS 04 14 2003 18 31 54 0 10 0 20 0 30 0 40 0 179 141 100 0 ee ee rtr 100 0 175 88 9 t a 4 200 0x 220 0x 240 0x 260 0x 280 0x HERTZ LIN FBPL ACO 11 dB FBPL ACO S11 PHASE A 1Jiresra cheonrkererlborerls negrirlaaaala 300 0x HSPICE 8 Simulation and Analysis User Guide Y 2006 03 389 Chapter 11 Linear Network Parameter Analysis NET Parameter Analysis Figure 60 ZIN Magnitude and Phase Plots 390 ZIN LIN BAND PASS NETLIST HSPICE NETWORK ANALYSIS RESULTS 04 14 2003 18 31 54 120 0 100 0 80 0 60 0 40 0 20 0 90 0 ul iS ce y 90 0 i I I I i I I i 200 0x 220 0x 240 0x 260 0x HERTZ LIN I 280 0x ZIN MAG FBPL ACO aeo FBPL ACO ZIN PHASE f HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis References References 1 L Goyal Ravender S Parameter Output From SPICE Program MSN amp CT February 1988 pp 63 and 66 Robert Weber Introduction to Microwave Circuits IEEE Press Behzad Razavi Design of Analog CMOS Integrated Circuits McGraw Hill Reinhold Ludwig Pavel Bretchko RF Circuit Design Theory and Applications 2 3 4 LL aw S
512. r Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Sinusoidal Source Function HSPICE or HSPICE RF provides a damped sinusoidal source funtion which is the product of a dying exponential with a sine wave To apply this waveform you must specify m Sine wave frequency Exponential decay constant Beginning phase Beginning time of the waveform Syntax Vxxx n n SIN lt gt vo va freq lt td lt q lt j gt gt gt gt lt gt Ixxx n n SIN vo va freq lt td lt q lt j gt gt gt gt lt gt Parameter Description VXXX Ixxx Independent voltage source that exhibits the sinusoidal response SIN Keyword for a sinusoidal time varying source vo Voltage or current offset in volts or amps va Voltage or current peak value vpeak in volts or amps freq Source frequency in Hz Default 1 TSTOP td Time propagation delay before beginning the sinusoidal variation in seconds Default 0 0 Response is 0 volts or amps until HSPICE or HSPICE RF reaches the delay value even with a non zero DC voltage q Damping factor in units of 1 seconds Default 0 0 j Phase delay in units of degrees Default 0 0 HSPICE 8 Simulation and Analysis User Guide 133 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions The following table of expressions defines the waveform shape Table 9 Waveform Shape Expressions Time Value 0 to td TT yo tva sin
513. r a three dimensional polynomial function with FA FB and FC as its arguments the following expression determines the rv function value FV PO P1 FA P2 FB P3 FC P4 FA P5 FA FB P6 FA FC P7 FB P8 FB FC P9 FC P10 FA P11 FA FB P12 FA FC P13 FA FB P14 FA FB FC P15 FA FC P16 FB P17 FB FC P18 FB FC P19 FC P20 FA HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage and Current Controlled Elements For example generate a voltage controlled source that specifies the voltage as V 1 0 3 V 3 2 4 V 7 6 5 V 9 8 3 or E1 3 V 3 2 4 V 7 6 2 5 V 9 8 3 The resulting three dimensional polynomial equation is FA V 3 2 FB V 7 6 FC V 9 8 P1 3 P7 4 P19 5 Substitute these values into the voltage controlled voltage source statement E110 POLY 3 3276980300000400000 0 00000 5 The preceding example specifies a controlled voltage source which connects between nodes 1 and 0 Three differential voltages control this voltage source Voltage difference between nodes 3 and 2 Voltage difference between nodes 7 and 6 Voltage difference between nodes 9 and 8 Thatis FA V 3 2 FB V 7 6 E FC V 9 8 The statement defines the polynomial coefficients as P133 P744 P19 5 Other coefficients are zero HSPICE 8 Simula
514. r coupling See the third example below for a specific situation Note The automatic inductance calculation is an estimation and is accurate fora subset of geometries The second order coupling coefficient is the product of the two first order coefficients which is not correct for many geometries Example 1 The Lin and Lout inductors are coupled with a coefficient of 0 9 K1 Lin Lout 0 9 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements Example 2 The Lhigh and Llow inductors are coupled with a coefficient equal to the value of the COUPLE parameter Kxfmr Lhigh Llow K COUPLE The K1 mutual inductor couples L1 and L2 m The K2 mutual inductor couples L2 and L3 Example 3 The coupling coefficients are 0 98 and 0 87 HSPICE or HSPICE RF automatically calculates the mutual inductance between L1 and L3 with a coefficient of 0 98 0 87 20 853 K1 L1 L2 0 98 K2 L2 L3 0 87 Ideal Transformer Kxxx Li Lj k IDEAL IDEAL gt Ideal transformers use the IDEAL keyword with the K element to designate ideal K transformer coupling This keyword activates the following equation set for non DC values which is presented here with multiple coupled inductors lj is the current into the first terminal of Lj V1 sqrt L1 zV2 sqrt L2 zV3 sqrt L3 zV4 sqrt L4 Il sqrt L1 I2 sqrt L2 I3 sqrt L3 IA4 sqrt L4 0 HSPICE can solve any l or V in terms of L ratios DC is
515. r in a subcircuit element Assign a parameter to DTEMP then use the Dc statement to sweep the parameter The DTEMP value defaults to zero HSPICE 8 Simulation and Analysis User Guide 547 Y 2006 03 Appendix A Statistical Analysis Worst Case Analysis If you specify TREF in the model statement the model reference temperature changes TREF overrides TNOM Derating the model parameters is based on the difference between circuit simulator temperature and TREF instead of TNOM TEMP Statement To specify the temperature of a circuitfor a HSPICE or HSPICE RF simulation use the TEMP statement Worst Case Analysis 548 Circuit designers often use worst case analysis when designing and analyzing MOS and BJT IC circuits To simulate the worst case set all variables to their 2 or 3 sigma worst case values Because several independent variables rarely attain their worst case values simultaneously this technique tends to be overly pessimistic and can lead to over designing the circuit However this analysis is useful as a fast check Model Skew Parameters The Synopsys HSPICE device models include physically measurable model parameters The circuit simulator uses parameter variations to predict how an actual circuit responds to extremes in the manufacturing process P hysically measurable model parameters are called skew parameters because they skew from a statistical mean to obtain predicted performance variations Examples o
516. r opt cor G Zopt R AE A GAMMA OPT T 2 opt 01 Noise Figure and Noise Figure Minimum NF NFMIN If you setthe input source impedance to ZOPT the two port operates with the minimum Noise Figure The definition of Noise Figure F is unusual because it involves the available gain of the two port and not its transducer gain You can express it in the following form N G kT Af G is the available power gain N is the available noise power at the output of the two port due solely to the two port s noise and not to the input impedance HSPICE 8 Simulation and Analysis User Guide 375 Y 2006 03 Chapter 11 Linear Network Parameter Analysis RF Measurements From LIN kis Boltzmann s constant gis the 290 Kelvin reference temperature The NMIN minimum noise figure value is computed as R 2 NEMIN SF ag DEGRE e Ko n where NFMIN21 For input source impedance values other than zopT the Noise Figure varies as a function of the input source reflection coefficient according to 2 a Eats Top F F c 2Zg MT 2 opt The HSPICE RF Noise Figure measurement NF returns the noise figure value if the input terminates in the port characteristic impedance thatis r 0 This value is RAT G n opt F 5 Zo Z 2 NF E mint 2 min Zo I 4T l opt Associated Gain G As HSPICE RF also includes a measurement named Associated Gain which assumes thatthe Ls inout impedance is matched for th
517. racteristics add a graph model Plotting Variables Use this template to plot internal variables such as Table 59 Demo Plotting Variables Variable Description i mn1 i1 i2 i3 or i4 can specify the true branch currents for each transistor node LV18 mn6 Total gate capacitance C V plot LX7 mn1 GM gate transconductance LX8 specifies GDS and LX9 specifies GMB HSPICE 8 Simulation and Analysis User Guide 509 Y 2006 03 Chapter 19 Running Demonstration Files MOS I V and C V Plotting Demo Figure 82 MOS IDS Plot FILE MOS2BJT SP TRO BJT MOS ADDER APRIL 24 2003 13 12 24 4 50 b ic Ne HOS BIT TRO f wICIDJ A 40 T ICARRT OUT VICCEL 3 50 a Y g p YICARRY OUT 2 See 3 0 E E L of ARLVICARRT 4 HE Z PARLIVIALO12 gt 250 H E zi jd z QARIYIBLOI2 E Wed dar a t aS gt L y 1 50 qp iE 1 0 a i 4 1 500 0M 44 li z 0 vy 4 eee porte A a m 0 10 0N 20 0N 30 0N 40 0N 50 0N 60 0N TIME LIN 510 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files MOS I V and C V Plotting Demo Figure 883 MOS VGS Plot FILE MOS1VGS SP IDS VGS CV AND GM PLOT APRIL 24 2003 14 18 58 200 00 eee o or m ue rere a cinco nies NOKLVEV EFE 180 0U ilg E I VB 3 160 0U xy na ame o I
518. racters in node names space mname HSPICE orHSPICE RF requires a model reference name for all elements except passive devices pnamel An element parameter name identifies the parameter value that follows this name expression Any mathematical expression containing values or parameters such as param1 val2 vall Value of the pname7 parameter or of the corresponding model node The value can be a number or an algebraic expression M al Element multiplier Replicates va element times in parallel Do not assign a negative value or zero as the M value 42 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition For descriptions of element statements for the various types of supported elements see the chapters about individual types of elements in this user guide Example 1 Q1234567 4000 5000 6000 SUBSTRATE BJTMODEL AREA 1 0 The preceding example specifies a bipolar junction transistor with its collector connected to node 4000 its base connected to node 5000 its emitter connected to node 6000 and its substrate connected to the SUBSTRATE node The BJTMODEL name references the model statement which describes the transistor parameters M1 ADDR SIG1 GND SBS N1 10U 100U The preceding example specifies a MOSFET named M1 where drain node ADDR m gate node SIG1 m source node GND substrate nodes sBs The preceding element statement c
519. rating point analysis The oP statement calculates the transient operating point at t220 ns during the transient analysis TRAN 1ns 100ns UIC OP 20ns Although a transient analysis might provide a convergent DC solution the transient analysis can still fail to converge In a transient analysis the internal timestep too small error message indicates that the circuit failed to converge The cause of this convergence failure might be that stated initial conditions are not close enough to the actual DC operating point values Use the commands in this chapter to help achieve convergence in a transient analysis Transient Analysis Output print tran ov1 ov2 ovN probe tran ovl ov2 ovN measure tran measspec plot tran ovl ov2 ovN graph tran ovl ov2 ovN HSPICE RF does not support PLOT Or GRAPH The ov1 ovN output variables can include the following V n voltage at node n m V nlsn2 voltage between the n1 and n2 nodes Vn dl voltage at nth terminal of the d1 device j n d1l current into nth terminal of the d1 device expression expression involving the plot variables above HSPICE 8 Simulation and Analysis User Guide 317 Y 2006 03 Chapter 9 Transient Analysis Overview of Transient Analysis 318 You can use wildcards or as specified in the hspicerf configuration file to specify multiple output variables in a single command Output is affected by OPTION
520. rational amplifier This example is available in the HSPICE demo directory as lt installdir gt demo hspice apps opampdcm sp In this netlist device sizes are setup as a function of a parameter k which allows for investigating the effects of the global and local variations as a function of device size The following lines relate to DCmatch analysis param k 2 mni net031 inn net044 nmosbulk snps20N L k 0 5u W k 3 5u M 4 mn2 net18 inp net044 nmosbulk snps20N L k 0 5u W k 3 5u M 4 mp3 net031 net031 vdda pmosbulk snps20P L k 0 5u W k 4 5u M 4 mp4 net18 net031 vdda pmosbulk snps20P L k 0 5u W k 4 5u M 4 variation global variation nmos snps20N vth0 0 07 u0 10 pmos snps20P vth0z20 08 u0 8 end global variation local variation nmos snps20N vth02 1 234e 9 sqrt get E W get E L get E M u02 2 345e 6 sqrt get E W get E L get E M pmos snps20P vth02 1 234e 9 sqrt get E W get E L get E M u0 2 345e 6 sqrt get_E W get_E L get_E M element_variation R r 10 end element variation end local variation end variation dematch v out dc k start 1 stop 4 step 0 5 meas DC systoffset find V in_pos in_neg at 2 HSPICE Simulation and Analysis User Guide 463 Y 2006 03 Chapter 16 References meas meas meas meas DC DC DC DC DC Mismatch Analysis dcmoffset find DCm_local at 2 maxoffset param abs systoffset 3 0 dcmoffset dcm mn2 find DCm_local xi82 mn2 a
521. rdependence and hierarchy of the variations For example one random variable can control the variation in oxide thickness of both PMOS and NMOS devices as itis generally the same for both types of devices Note thatthe earlier methods of specifying variation are not compatible with the variation block For controlling the behavior of variation blocks see section on options for controlling the behavior The variation block is currently used for HSPICE 8 Simulation and Analysis User Guide 433 Y 2006 03 Chapter 14 Variation Block Variation Block Structure Monte Carlo and DCmatch analyses for a description of these analyses see Chapter 15 Monte Carlo Analysis and Chapter 16 DC Mismatch Analysis respectively For the functions available to build expressions as presented in the next sections see Built In Functions and Variables on page 229 Variation Block Structure The structure of a variation block is variation Define options Define common parameters that apply to all sub blocks global variation Define the univariate independent random variables Define additional random variables through transformation Define variations of model parameters end global variation local variation Define the univariate independent random variables Define additional random variables through transformation Define variations of model parameters element variation Define variations of element parameters end element variation end local v
522. rea gt lt lt PJ gt val gt WP val LP val WM val LM val OFF lt IC vd gt M val lt DTEMP val gt Dxxx nplus nminus mname lt W width gt lt L length gt lt WP val gt LP val lt WM val gt LM val OFF IC vd lt M val gt lt DTEMP val gt Fowler Nordheim LEVEL 2 form Dxxx nplus nminus mname lt W val lt L val gt gt lt WP val gt lt OFF gt lt IC vd gt lt M val gt Parameter Description DXxx Diode element name Must begin with D followed by up to 1023 alphanumeric characters nplus Positive terminal anode node name The series resistor for the equivalent circuit is attached to this terminal nminus Negative terminal cathode node name mname Diode model name reference AREA Area of the diode unitless for LEVEL 1 diode and square meters for LEVEL 3 diode This affects saturation currents capacitances and resistances diode model parameters are IK IKR J S CJ O and RS The SCALE option does not affect the area factor for the LEVEL 1 diode Default 1 0 Overrides AREA from the diode model If you do not specify the AREA HSPICE or HSPICE RF calculates it from the width and length HSPICE 8 Simulation and Analysis User Guide 91 Y 2006 03 Chapter 4 Elements Active Elements 92 Parameter Description PJ Periphery of junction unitless for LEVEL 1 diode and meters for LEVEL 3 diode Overrides PJ from the diode model If you do not specify
523. rence You can choose one of two algorithms explained in the following sections m PACT Algorithm P Algorithm PACT Algorithm The PAcT Pole Analysis via Congruence Transforms algorithm reduces the RC networks in a well conditioned manner while preserving network stability The transform preserves the first two moments of admittance at DC slope and offset so that DC behavior is correct see Figure 78 The algorithm preserves enough low frequency poles from the original network to maintain the circuit behavior up to a specified maximum frequency f0 within the specified tolerance This approach is more accurate between these two algorithms and is the default HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 18 RC Reduction Linear Acceleration Control Options Summary Figure 78 PACT Algorithm 0 i B o frequency PI Algorithm This algorithm creates a pi model of the RC network Fora two port the pi model reduced network consists of e aresistor connecting the two ports and acapacitor connecting each portto ground The result resembles the Greek letter pi Fora general multiport SIM LA preserves the DC admittance between the ports and the total capacitance that connects the ports to ground All floating capacitances are lumped to ground Linear Acceleration Control Options Summary In addition to SIM LA other options are available to control the maximum resistan
524. res both worst case and statistical distribution data by using model skew parameters In statistical distribution the median value is the default for all non Monte Carlo analysis HSPICE RF does not support Monte Carlo analysis Example EIB TT STYPICAL P CHANNEL AND N CHANNEL CMOS LIBRARY DATE 3 4 91 PROCESS 1 0U CMOS FAB22 STATISTICS COLLECTED 3 90 2 91 following distributions are 3 sigma ABSOLUTE GAUSSIAN PARAM polysilicon Critical Dimensions polycd agauss 0 0 06u 1 xl polycd sigma 0 06u Active layer Critical Dimensions nactcd agauss 0 0 3u 1 xwn nactcd sigma 0 3u pactcd agauss 0 0 3u 1 xwp pactcd sigma 0 3u Gate Oxide Critical Dimensions 200 angstrom 10a at 1 S sigma toxcd agauss 200 10 1 tox toxcd sigma 10 Threshold voltage variation vtoncd agauss 0 0 05v 1 delvton vtoncd sigma 0 05 vtopcd agauss 0 0 05v 1 delvtop vtopcd sigma 0 05 INC usr meta lib cmosl_mod dat model include file ENDL TT LIB FF SHIGH GAIN P CH AND N CH CMOS LIBRARY 3SIGMA VALUES PARAM TOX 230 XL 0 18u DELVTON 15V DELVTOP 0 15V INC usr meta lib cmos1 mod dat model include file ENDL FF The usr meta lib lcmos1 mod dat include file contains the model MODEL NCH NMOS LEVEL 2 XL XL TOX TOX DELVTO DELVTON MODEL PCH PMOS LEVEL 2 XL XL TOX TOX DELVTO DELVTOP Note The model keyname left equals the skew parameter ri
525. resents the operating frequency In time domain analyses an expression with the HERTZ keyword behaves differently according to the value assigned to the CONVOLUTION keyword Syntax LXXX nl n2 L equation lt CONVOLUTION 0 1 2 lt FBASE value gt lt FMAX value gt gt Parameter Description LXxx Inductor element name Must begin with L followed by up to 1023 alphanumeric characters nln2 Positive and negative terminal node names equation The equation should be a function of HERTZ If CONVOLUTION is turned on when a HERTZ keyword is not used in the equation CONVOLUTION is automatically be turned off and the inductor behaves conventionally The equation can be a function of temperature but it does not support variables of node voltage branch current or time If these variables exist in the equation with CONVOLUTION turned on only their values atthe operating point are considered in the calculation CONVOLUTION Indicates which method is used 0 default Acts the same as the conventional method l Applies recursive convolution and if the rational function is not accurate enough it switches to linear convolution 2 Applies linear convolution 86 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements Parameter Description FBASE Specifies the lower bound of the transient analysis frequency For CONVOLUTION 1 mode HSPICE starts sampling at this frequency
526. ression For example the following defines a normal distribution with sigma of 10 on the parameter rsh of the resistor with model R poly global variation R Rpoly rsh 10 end global variation In a more complex case the variation is modeled as a dependency on one or several previously defined random variables by using the following syntax model type model name model parameter Perturb Expression For example the variable Toxvar in the following is used to model global variations on oxide thickness and by definition has a normal distribution with mean 0 and sigma l1 The pmos and the nmos models receive the same random variable and apply the variation to the model parameter tox in different amounts the oxide thickness for the two models is correlated global variation Parameter Toxvar N Nmos nch tox Perturb 7e 10 Toxvar Pmos pch tox Perturb 8e 10 Toxvar end global variation These model types are currently supported NMOS PMOS R and C HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 14 Variation Block Variation Block Structure Variations can only defined on parameters that are explicitly specified in the device model and are included in the following list Model Parameters BSIM3 level 49 lint wint vthO Vfb tox u0 nsub BSIM4 level 54 lint wint vthO vfb toxm toxe u0 nsub R dir dw rsh C COX del capsw thick For binned models variations can be defined separately by sp
527. rge current CQB QG LX14 Gate charge QG CQG LX15 Gate charge current CQG QD LX16 Channel charge QD CQD LX17 Channel charge current CQD CGGBO LX18 CGGBO 0Qg oVgb CGS CGD CGB CGDBO LX19 CGDBO 0Qg 0Vdb for Meyer CGD CGDBO CGSBO LX20 CGSBO aQg Vsb for Meyer CGS CGSBO CBGBO LX21 CBGBO Qb Vgb for Meyer CGB CBGBO CBDBO LX22 CBDBO oQb oV db CBSBO LX23 CBSBO Qb aVsb QBD LX24 Drain bulk charge QBD LX25 Drain bulk charge current CQBD not used in HSPICE releases after 95 3 QBS LX26 Source bulk charge QBS HSPICE Simulation and Analysis User Guide 285 Y 2006 03 Chapter 7 Simulation Output Element Template Listings 286 Table 38 MOSFET Continued Name Alias Description LX27 Source bulk charge current CQBS not used after HSPICE releases after 95 3 CAP BS LX28 Bulk source capacitance CAP BD LX29 Bulk drain capacitance CQS LX31 Channel charge current CQS CDGBO LX32 CDGBO oQd oV gb CDDBO LX33 CDDBO Qd Vdb CDSBO LX34 CDSBO 6Qd 0Vsb Table 39 Saturable Core Element K Element Name Alias Description MU LXO Dynamic permeability mu Weber amp turn meter H LX1 Magnetizing force H Ampere turns meter B LX2 Magnetic flux density B Webers meter Table 40 Saturable Core Winding Name Alias Description LEFF LV1 Effective winding inductance Henry IC LV2 Initial condition FLUX LXO Flux through winding Weber turn VOLT LX1 Vol
528. riation Block Describes the use model and structure of the variation block Overview The characteristics of circuits produced in semiconductor processing are Subject to variability as is the case for any other manufactured product For a given target technology the nominal device characteristics are described with a set of parameters which applies to a certain device model for example BSIM3 In HSPICE the variability of the model parameters is described in a so called variation block A variation block is a container for specifying variations introduced by the effects in manufacturing on geometry and model parameters For the purpose of dealing with variations in HSPICE they are separated into global variations defined as variations from lotto lot wafer to wafer and chip to chip and into local variations which are defined for devices in proximity on the same integrated circuit Both classes can be described in the variation block in a very flexible way by user defined expressions Since there are currently no industry wide standards for specifying process variability this feature allows each company to implement their own proprietary model for variability The variation block is generally provided by a modeling group very similar to device models for example BSIM because it must be created specifically for each technology from test circuits The structure of the variation block allows for building expressions to model inte
529. riations yes Global and Local Variations Sub Blocks Within the global or local variations sub blocks univariate independent random variables can be defined These are random variables with specific distributions over a certain sample space Additional random variables can be generated through transformations These random variables form the basis for correlations and complex distributions In both sub blocks variations on model parameters can be defined This is where global or local variations on the parameters of semiconductor devices are specified A special section within the sub block for local variations allows for defining local variations on elements This is either for specifying local temperature variations or variations on generic elements that do not have a model as used early in the pre layout design phase for example resistors and capacitors HSPICE amp Simulation and Analysis User Guide 435 Y 2006 03 Chapter 14 Variation Block Variation Block Structure Independent Random Variables When describing variations a basic normal Gaussian distribution is assumed unless otherwise specified explicitly This default behavior is explained in later sections Other types of distributions or correlations must be modeled by using independent random variables These come from three basic distributions Uniform distribution defined over the range from 0 5 to 0 5 U m Normal distribution with mean 0 and variance 1
530. rig Returns the inverse cosine of x radians atan x arc tangent trig Returns the inverse tangent of x radians sinh x hyperbolic trig Returns the hyperbolic sine of x radians sine cosh x hyperbolic trig Returns the hyperbolic cosine of x radians cosine tanh x hyperbolic trig Returns the hyperbolic tangent of x radians tangent abs x absolute math Returns the absolute value of x x value sqrt x square root math Returns the square root of the absolute value of x sqrt x sqrt x pow x y absolute math Returns the value of x raised to the integer part of y power x integer part of y pwr x y signed math Returns the absolute value of x raised to the y power power with the sign of x sign of x x Y HSPICE amp Simulation and Analysis User Guide 229 Y 2006 03 Chapter 6 Parameters and Functions Built In Functions and Variables Table 18 Synopsys HSPICE Built in Functions Continued HSPICE Form Function Class Description x y power If x 0 returns the value of x raised to the integer part of y If x 0 returns 0 If x20 returns the value of x raised to the y power log x natural math Returns the natural logarithm ofthe absolute value of logarithm x with the sign of x sign of x log x log10 x base 10 math Returns the base 10 logarithm of the absolute value logarithm of x with the sign of x sign of x logj9 x exp x exponential math Returns e raised to the p
531. rmat is timel signall_valuel signal2_valuel signal3_valuel time2 signall_value2 signal2 value2 signal3_value2 time3 signall_value3 signal2 value3 signal3_value3 Where timex is the specified time and signaln valuen is the values of specific signals at specific points in time The set of values for a particular signal over all times is a vector which appears as a vertical column in the tabular data and vector table The set of all signal1 valuen constitutes one vector For example 11 0 1000 1000 20 0 1100 1100 33 0 1010 1001 This example shows that At11 0 time units the value for the first and fifth vectors is 1 At20 0 time units the first second fifth and sixth vectors are 1 At33 0 time units the first third fifth and eighth vectors are 1 Input Stimuli HSPICE or HSPICE RF converts each input signal into a PWL piecewise linear voltage source and a series resistance Table 14 shows the legal states for an input signal Signal values can have any of these legal states Table 14 Legal States for an Input Signal State Description 0 Drive to ZERO gnd Resistance set to 0 1 Drive to ONE vdd Resistance set to 0 Zz Floating to HIGH IMPEDANCE A TRIZ statement defines resistance value X X Drive to ZERO gnd Resistance set to 0 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Specifying a Digital Vector File Table 14 Legal States for
532. rom the one dimensional polynomial equation above the defining equation for V 5 0 is V 5 0 2 14 2 5 V 3 2 You can also express V 5 0 as E7 El 142 5 V 32 Two Dimensional Function If the function is two dimensional that is a function of two node voltages or two branch currents the following expression determines FV FV PO P1 FA P2 FB P3 FA P4 FA FB P5 FB P6 FA P7 FA FB P8 FA FB P9 FB For a two dimensional polynomial the controlled source is a function of two nodal voltages or currents To specify a two dimensional polynomial set POLY 2 in the controlled source statement HSPICE Simulation and Analysis User Guide 159 Y 2006 03 Chapter 5 Sources and Stimuli Voltage and Current Controlled Elements 160 For example generate a voltage controlled source that specifies the controlled voltage V 1 0 as V 1 0 3 V 32 4 V 7 6 2 or El 3 V 3 2 4 V 7 6 2 To implement this function use this controlled source element statement E110 POLY 2 327603000 4 This example specifies a controlled voltage source which connects between nodes 1 and 0 Two differential voltages control this voltage source Voltage difference between nodes 3 and 2 Voltage difference between nodes 7 and 6 Thatis FA V 3 2 and FB V 7 6 The polynomial coefficients are p0 0 P1 3 pP2 0 pP3 0 P4 0 P5 4 Three Dimensional Function Fo
533. s n OR and NOR gates the input with the largest value determines the corresponding output of the gates 162 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Power Sources Power Sources This section describes independent sources and controlled sources Independent Sources A power source is a special kind of voltage or current source which supplies the network with a pre defined power that varies by time or frequency The source produces a specific input impedance To apply a power source to a network you can use either ANorton equivalent circuit if you specify this circuit and a current source the current source element or A Thevenin equivalent circuit if you specify this circuit and a voltage source the V voltage source element As with other independent sources simulation assumes that positive current flows from the positive node through the source to the negative node A power source is a time variant or frequency dependent utility source therefore the value phase can be a function of either time or frequency A power source is a sub class of the independent voltage current source with some additional keywords or parameters You can use and V elements in DC AC and transient analysis The and V elements can be data driven S upported formats include PULSE a trapezoidal pulse function pWL a piecewise linear function with repeat func
534. s returns the value of the rs parameter for the mos1 model which is an nmos model type lv lt E lement gt element various Returns various element values during simulation or templates See Element Template Output on page 266 for more Ix lt E lement gt information v lt Node gt circuit various Returns various circuit values during simulation See i lt Element gt output DC and Transient Output Variables on page 251 for variables more information cond x y ternary Returns x if cond is not zero Otherwise returns y operator param z condition x y relational Returns 1 if the left operand is less than the right operator operand Otherwise returns 0 less than para x y lt z y less than z lt relational Returns 1 if the left operand is less than or equal to operator the right operand Otherwise returns 0 less than or para x y lt z y less than or equal to z equal gt relational Returns 1 if the left operand is greater than the right operator operand Otherwise returns 0 greater para x y gt z y greater than z than HSPICE amp Simulation and Analysis User Guide Y 2006 03 231 Chapter 6 Parameters and Functions Built In Functions and Variables Table 18 Synopsys HSPICE Built in Functions Continued HSPICE Form Function Class Description gt relational Returns 1 if the left operand is greater than or equal operator to the right operand Otherwise returns 0 greater para x y gt
535. s back the timepoint timestep reversal and uses the calculated timestep to increment the time fthe calculated timestep is larger than the current timestep then HSPICE or HSPICE RF does not reverse the timestep The next timepoint uses a new timestep HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 9 Transient Analysis Selecting Timestep Control Algorithms To select the LTE timestep algorithm set LVLTIM 2 Or METHOD GEAR The control options available with the algorithm for local truncation error are TRTOL default 7 CHGTOL default z1e 15 RELQ defaultz0 01 For some circuits such as magnetic core circuits GEAR and LTE provide more accurate result than TRAP You can use this method with circuits containing inductors diodes BJ Ts even Level 4 and above MOSFETs or JFETs DVDT Dynamic Timestep To select DVDT dynamic timestep algorithm set the LVLTIM option to 1 or 3 If you Set LVLTIM 1 the DvD7 algorithm does not use timestep reversal HSPICE orHSPICE RF saves the results for the current timepoint and uses a new timestep for the next timepoint If you set LVLTIM 3 the algorithm uses timestep reversal If the results do not converge ata specified iteration HSPICE or HSPICE RF ignores the results of the current timepoint sets back the time by the old timestep and then uses a new timestep Therefore LVLTIM 3 is more accurate and more time consuming than LVLTIM 1 This algorithm uses
536. s case is rather seldom but it needs to be considered to avoid unexpected results test18 sp has model variation specified on width with a parameter Two resistors have width also defined on instance The resistors with instance parameter do not vary atall The other two resistors vary independently as expected because option modmonte is set to 1 testl9 spis similar to test18 sp with option modmonte setto 0 The two resistors that do not have width defined on the instance line vary together test20 sp has DEV LOT specified Instance parameters override variations on selected resistors Variation on Model Parameters as a Function of Device Geometry For local variations see DC Mismatch Analysis itis a common requirementto specify variation on a model parameter as a function of device geometry For example the MOS device threshold was observed to vary with the total device area The approach explained in the section Indirect Variations on a Model Parameter can be used While this allows for specifying local variations on each device it does not include the capability of using expressions based on element parameters Thus variation cannot be described with an expression that includes the device s geometry Conceptually a netlist processor could be written that inserts the appropriate values for the parameters as a function of device size Synopsys does not make such a tool available HSPICE Simulation and Analysis Us
537. s changed by the same random value for all elements that share a common model For local variation the specified parameter is changed by a different random value for each element The changes due to global and local variations are additive and are saved in a file for post processing When all the elements have been updated the simulation is executed and the measurement results are saved When all the requested samples have been simulated HSPICE calculates the statistics of the measurement results and includes them in the run listing HSPICE amp Simulation and Analysis User Guide 447 Y 2006 03 Chapter 15 Monte Carlo Analysis Monte Carlo Analysis in HSPICE Figure 64 Monte Carlo analysis flow in HSPICE Start Index 1 Simulate with nominal parameters a Global variation Add some random value to particular parameter for all devices Local variation Add different random value to specified parameters for each device Index n Simulate with variations applied More Done Calculate statistics End Input Syntax Monte Carlo analysis is always executed in conjunction with some other analysis DC MCcommand DC sweepVar start stop step sweep MCcommand AC type step start stop sweep MCcommand TRAN step stop MCcommand 448 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 15 Monte Carlo Analysis Monte Carlo Analysis in HSPICE Syn
538. s cumulative x2 a subcircuit of x1 is then scaled in effect by the S scaling values of both X1 and X2 1m 1u scale Using Hierarchical Parameters to Simplify Simulation You can use the hierarchical parameter to simplify simulations An example is shown in the following listing and Figure 11 on page 60 X1 D Q Qbar CL CLBAR dlatch flip 0 macro dlatch D Q Qbar CL CLBAR flip vcc nodeset v din flip xinv1 din qbar inv xinv2 Qbar Q inv ml q CLBAR din nch w 5 1 1 m2 D CL din nch w 5 1 1 eom HSPICE 8 Simulation and Analysis User Guide 59 Y 2006 03 Chapter 3 Input Netlist and Data Entry S ubcircuit Call Statement Discrete Device Libraries Figure 11 D Latch with Nodeset clbar o C Nodeset HSPICE does not limit the size or complexity of subcircuits they can contain Subcircuit references and any model or element statement However in HSPICE RF you cannot replicate output commands within subcircuit definitions To specify subcircuit nodes in PRINT or PLOT statements specify the full subcircuit path and node name Undefined Subcircuit Search HSPICE If a subcircuit call is in a data file that does not describe the Subcircuit HSPICE automatically searches directories in the following order 1 Present directory for the file 2 Directories specified in OPTION SEARCH directory path name statements 3 Directory where the Discrete Device Library is located HSPIC
539. s the same wherever it is used thus the resistors have the same width for each Monte Carlo sample and therefore the same resistance When plotting the simulation results v1 v2 v3 and v4 from the meas file the waveforms overlay perfectly This type of setup is typically used to model global variations which means variations that affect all devices the same way test2 sp has a distribution parameter defined called locwidth This parameter is used to define the width of the physical resistors r1 r2 r3 and r4 with model resistor Since the parameter has its own distribution defined its value will be different for each reference and of course for each Monte Carlo sample Therefore the resistors will always have different values and the voltages will be different This type of setup is typically used to model local variations which means variations that affect devices in a different way test3 sphastwo kinds of distributions defined globw globwidth as in the first example and locwidth as in the second example The sum ofthe two is used to define the width of the resistors Therefore the resistors will always have different widths a common variation due to globwidth and a separate variation due to locwidth In the example the distribution for locwidth was chosen as narrower than for globwidth When overlaying the measurement results the large common variation can easily be seen however all voltages are different In sum
540. sensitive except for file names and their paths HSPICE and HSPICE RF do not limit the identifier length line length or file size Input Line Format The input reader can accept an input token such as aStatement name e anode name e parameter name or value Any valid string of characters between two token delimiters is a token You can use a character string as a parameter value in HSPICE but not in HSPICE RF See Delimiters on page 32 An input statement or equation can be up to 1024 characters long m HSPICE orHSPICE RF ignores differences between upper and lower case in input lines except in quoted filenames To continue a statement on the next line enter a plus sign as the first non numeric non blank character in the next line To indicate to the power of in your netlist use two asterisks For example 2 5 represents two to the fifth power 2 m To continue all HSPICE 30 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Guidelines Names are input tokens Token delimiters must precede and follow names See Delimiters below Names can be up to 1024 characters long and are not case sensitive Do not use any of the time keywords as a parameter name or node name in your netlist e The following symbols are reserved operator keywords Do not use these symbols as part of any parameter or node name that
541. sformer turn ratio V in in k V n n or number of gates input MAX Maximum output voltage value The defaultis undefined and sets no maximum value MIN Minimum output voltage value The default is undefined and sets no minimum value n Positive or negative node of a controlled element NDIM Number of polynomial dimensions If you do not set POLY NDIM HSPICE or HSPICE RF assumes a one dimensional polynomial NDIM must be a positive number NPDELAY Sets the number of data points to use in delay simulations The default value is the larger of either 10 or the smaller of TD tstep and tstop tstep That is NPDELAY uui mas MTD stop 10 tstep The TRAN statement specifies tstep and tstop values OPAMP The keyword for an ideal op amp element OPAMP is a HSPICE or Level 1 reserved word do not use itas a node name 174 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements Parameter Description PO P1 The polynomial coefficients If you specify one coefficient HSPICE or HSPICE RF assumes that itis P 1 P0 0 0 and that the element is linear If you specify more than one polynomial coefficient the elementis nonlinear and PO P1 P2 represent them see Polynomial Functions on page 158 POLY Keyword for the polynomial function If you do not specify POLY ndim HSPICE assumes a one dimensional polynomial Ndim
542. sources in the circuit the HSPICE or HSPICE RF simulator calculates each noise source independently The total output noise voltage is the RMS sum of the individual noise contributions N onoise gt Agri k 0 Where onoise is the total output noise HSPICE or HSPICE RF ink is the normal current source due to thermal shot or other noise Z is the equivalent transimpedance between each noise current source and output Nis the number of noise sources associated with all circuit elements The input noise inoise voltage is the total output noise divided by the gain or transfer function of the circuit HSPICE or HSPICE RF prints the contribution of each noise generator in the circuit for each inter frequency point The simulator HSPICE amp Simulation and Analysis User Guide 349 Y 2006 03 Chapter 10 AC Sweep and Small Signal Analysis Other AC Analysis Statements 350 also normalizes the output and input noise levels relative to the square root of the noise bandwidth The units are volts Hz or amps Hz To simulate flicker noise sources in the noise analysis include values forthe KF and AF parameters on the appropriate device model statements Use the PRINT or PLOT statement to print or plot output noise and the equivalent input noise If you specify more than one NOISE statementin a single simulation HSPICE or HSPICE RF runs only the last statement Table 46 NOISE Measurements Available for MOSFETs ac J
543. sp FFT analysis window test winreal sp FFT analysis window test Filters installdir demo hspicef filters fbp l sp bandpass LCR filter measurement fbp 2 sp bandpass LCR filter pole zero fbpnet sp bandpass LCR filter s parameters fbpric sp LCR AC analysis for resonance fhp4th sp high pass LCR fourth order Butterworth filter fkerwin sp pole zero analysis of Kerwin s circuit flp5th sp low pass fifth order filter flp9th sp low pass ninth order FNDR with ideal op amps microl sp test of microstrip micro2 sp test of microstrip tcoax sp test of RG58 AU coax HSPICE Simulation and Analysis User Guide 535 Chapter 19 Running Demonstration Files Demonstration Input F iles 536 File Name Description trans1m sp FR 4 printed circuit lumped transmission line Magnetics installdir demo hspice mag aircore sp air core transformer circuit bhloop sp b h loop non linear magnetic core transformer mag2 sp three primary two secondary magnetic core transformer magcore sp magnetic core transformer circuit royerosc sp Royer magnetic core oscillator MOSFET Devices installdir demo hspice mos bsim3 sp LEVEL 47 BSIM3 model Cap13 sp plot MOS capacitances LEVEL 13 model cap b sp capacitances for LEVEL 13 model cap m sp capacitance for LEVEL 13 model capop0 sp plot MOS capacitances LEVEL 2 ca
544. sponding to the first harmonic of a tone modtone and modharm specify sources for multi tone simulation A source specifies a tone and a harmonic and up to 1 offset tone and harmonic modtone for tones and modharm for harmonics The signal is then described as V or I 2mag cos 2 pi harm tone modharm modtone t phase lt transient_waveform gt Transient analysis Voltage or power source waveform Any one of waveforms AM EXP PULSE PWL SFFM SIN or PRBS Multiple transient descriptions are not allowed 126 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Elements Parameter Description TRANFORHB 4d0 1 0 default The transient description is ignored if an HB value is given or a DC value is given If no DC or HB value is given and TRANFORHB 0 then HB analysis treats the source as a DC source and the DC source value is the time 0 value 1 HB analysis uses the transient description if its value is VMRF SIN PULSE PWL or LFSR If the type is a non repeating PWL source then the time infinity value is used as a DC analysis source value For example the following statementis treated as a DC source with value 1 for HB analysis v110PWL 00 1n 1 1u 1 TRANFORHB 1 In contrast the following statement is a OV DC source v110PWL 00 1n 1 1u 1 TRANFORHB 0 The following statement is treated as a periodic source with a lus period that uses PWL val
545. st 10 20 30 40 46 72 Monte Carlo Output MEASURE statements are the most convenient way to summarize the results PRINT statements generate tabular results and print the values of all Monte Carlo parameters MCBRIEF determines the output types of the random parameters during Monte Carlo analysis to improve output performance fone iteration is out of specification you can obtain the component values from the tabular listing A detailed resimulation of that iteration might help identify the problem GRAPH generates a high resolution plot for each iteration By contrast AvanWaves superimposes all iterations as a single plot so you can analyze each iteration individually PARAM Distribution Function This section describes how to use assign a PARAM parameter in Monte Carlo analysis For a general description of the PARAM statement see the PARAM command in the HSPICE Command Reference You can assign a PARAM parameter to the keywords of elements and models and assign a distribution function to each PARAM parameter HSPICE recalculates the distribution function each time that and element or model keyword uses a parameter When you use this feature Monte Carlo analysis can use a parameterized schematic netlist without additional modifications 556 HSPICE Simulation and Analysis User Guide Y 2006 03 Syntax Appendix A Statistical Analysis Monte Carlo Analysis PARAM xx UNIF nominal val re
546. sweep The following are examples of multipoint experiments Process variation Monte Carlo or worst case model parameter variation HSPICE only Element variation Monte Carlo HSPICE only or element parameter sweeps 6 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 1 Overview Simulation Structure Voltage variation VCC VDD or substrate supply variation Temperature variation design temperature sensitivity Timing analysis basic timing jitter and signal integrity analysis Parameter optimization balancing complex constraints such as speed versus power or frequency versus slew rate versus offset analog circuits HSPICE Data Flow HSPICE or HSPICE RF accepts input and simulation control information from several different sources They can output results in a number of convenient forms for review and analysis Figure 5 shows the overall data flow HSPICE 8 Simulation and Analysis User Guide 7 Y 2006 03 Chapter 1 Overview Simulation Structure Figure 5 Overview of Data Flow hspice ini Command line input initialization file meta cfg output configuration file design sp netlist input file command inc command include file optional Models and device libraries HSPICE or HSPICE RF simulation i lt design gt tr Other output f
547. t Ixxx n n PL vil t1 v2 t2 v3 t3 R lt repeat gt gt TD delay lt gt Parameter Description V xxx Ixxx Independent voltage source uses a piecewise linear response PWL Keyword for a piecewise linear time varying source vl v2 vn Current or voltage values at the corresponding timepoint ut m Timepoint values where the corresponding current or voltage value is valid R repeat Keyword and time value to specify a repeating function With no argument the source repeats from the beginning of the function repeat is the time in units of seconds which specifies the start point ofthe waveform to repeat This time needs to be less than the greatest time point tn HSPICE Simulation and Analysis User Guide 139 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Parameter Description TD delay Time in units of seconds which specifies the length of time to delay propagation delay the piecewise linear function Each pair of values t1 v1 specifies that the value of the source is v1 in volts or amps at time t1 Linear interpolation between the time points determines the value of the source at intermediate values of time m The PL form of the function accommodates ASPEC style formats and reverses the order of the time voltage pairs to voltage time pairs Ifyou do notspecify a time zero point HSPICE or HSPICE RF uses the DC value of the source as the
548. t compensation To specify this preconditioning factor use the lt PREFAC val gt keyword in the S model statement The default preconditioning factor is 0 75 Figure 15 Preconditioning S Parameters preconditioning S kRref 5 EN NMA stamp kRref y za ii Er E T Y HSPICE 8 Simulation and Analysis User Guide 117 Y 2006 03 Chapter 4 Elements IBIS Buffers IBIS Buffers 118 The general syntax of a B element card for IBIS 1 0 buffers is bxxx node 1 node 2 node N file filename model model_name keyword_l value_1 keyword M value M Parameter Description bname Buffer name and starts with the letter B which can be followed by up to 1023 alphanumeric characters node 1 node 2 List of I O buffer external nodes The number of nodes and node N their meaning are specific to different buffer types file filename Name of the IBIS file model model_name Name of the model keyword i value i Assigns a value of value ito the keyword i keyword Specify optional keywords in brackets For more information about keywords see S pecifying Common Keywords in the HSPICE Signal Integrity User Guide Example B1 nd pc nd gc nd in nd out of in buffer 1 file test ibs model IBIS_IN This example represents an input buffer named B1
549. t and the values for resistors with model res2 are the same testl4 sp is similar to test7 sp and has modmonte 1 specified All four resistors have different values However note that in reality the sigma for width would be different when simulating local or global variations test15 sp has instance parameter variations specified on two resistors and DEV LOT on two others From the waveforms v3 and v4 form a first pair and vl and v2 a second pair HSPICE amp Simulation and Analysis User Guide 583 Y 2006 03 Appendix A Statistical Analysis Simulating the Effects of Global and Local Variations with Monte Carlo Itis also possible to mix variations on instance parameters and model parameters in the same setup testl6 sp has small instance parameter variations specified on width and relatively large model parameter variations on the sheet resistivity rsh The results show four different waveforms with a common behavior test17 sp shows instance and model parameter variations as in the previous test case but option modmonte is set to 1 thus the model variations affect every device in a different way The results show completely independent behavior of all four resistors If an instance parameter or instance parameter variations and model parameter variations are specified on the same parameter then the instance parameter always overrides the model parameter Because only few parameters can be used in both domains thi
550. t You can use either names or numbers to designate nodes Node numbers can be from 1 to 999999999999999 node number 0 is always ground HSPICE or HSPICE RF ignores letters thatfollow numbers in node names When the node name begins with a letter or a valid special character the node name can contain a maximum of 1024 characters In addition to letters and digits node names can include the following characters Ua wa l lt gt 2m Node names that begin with one or more numerical digits cannot contain brackets for example 123 r55 Whereas node names that begin with alphabetic character may contain brackets for example n123 r55 If you use braces in node names HSPICE or HSPICE RF changes them to brackets You cannot use the following characters in node names lt blank gt You should avoid using the dollar sign after a numerical digit in a node name because HSPICE assumes whatever follows the symbol is an in line comment see Comments and Line Continuation on page 40 for additional HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition information It can cause error and warning messages depending on where the node containing the is located For example HSPICE generates an error indicating that a resistor node is missing R1 1 2 1k Also in this example HSPICE issues a warning indicating that the value of
551. t desired and what library to use Library Input File You use library name files to identify libraries and macros that need to be included for simulating lt design gt sp Analog Transition Data File When you run HSPICE in standalone mode a lt design gt d2a file contains state information fora U Element mixed mode simulation Standard Output Files This section describes the standard output files from HSPICE For informaton about the standard output file from HSPICE RF see section HSPICE RF Output File Types in the HSPICE RF Manual The various types of output files produced are listed in Table 2 Table 2 HSPICE Output Files and Suffixes Output File Type Extension AC analysis measurement results maid AC analysis results from PosT statement ac DC analysis measurement results ms DC analysis results from Pos statement SW Digital output a2d FFT analysis graph data from FFT statement ft HSPICE 8 Simulation and Analysis User Guide 15 Y 2006 03 Chapter 2 Setup and Simulation Standard Output F iles 16 Table2 HSPICE Output Files and Suffixes Continued Output File Type Extension Hardcopy graph data from meta cfg gni t PRTDEFAULT Operating point information from OPTION dp OPFILE statement Operating point node voltages initial conditions ic O utput listing lis or user specified Output status St Output tables from DCMATCH OUTVAR dmz statement S ubcir
552. t gt gt gt lt gt Ixxx n n EXP lt gt vl v2 tdi t1 lt td2 lt t2 gt gt gt gt lt gt Parameter Description V xxx Ixxx Independent voltage source exhibiting an exponential response EXP Keyword for an exponential time varying source v1 Initial value of voltage or current in volts or amps v2 Pulsed value of voltage or current in volts or amps td1 Rise delay time in seconds Default 0 0 td2 Fall delay time in seconds Default td1 TSTE P tl Rise time constant in seconds Default TSTEP t2 Fall time constant in seconds Default TSTEP HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions TSTEP is the printing increment and TSTOP is the final time The following table of expressions defines the waveform shape Table 11 Waveform Shape Definitions Time Value 0 to td1 v1 td1 to td2 r ime vl v2 vl l exp Det l Ti td2 to tstop v1 v2 v1 1 exp Fe Hy L Ti v1 v2 L exp Lime 142 Z 2 T5 Example VIN 3 0 EXP 4 1 2NS 30NS 60NS 40NS The above example describes an exponential transient source which connects between nodes 3 and 0 In this source initial t O voltage is 4 V Final voltage is 1 V Waveform rises exponentially from 4 V to 1 V with a time constant of 30 ns At60ns the waveform starts dropping to 4 V again with a time constant of 40 ns HSPICE 8 S
553. t 2 gloffset find DCm global at 2 option post The DCmatch analysis produces four types of output from this netlist table from operating point with k 2 in the output listing table from DC sweep for k 1 to 4 in file opampdcm dm0 waveform for output variation as a function of k in file opampdcm swO in file opampdcm sw0 for k 2 values for systematic offset output sigma due to local variation e 3 sigma amplifier offset contribution of device mn2 to output sigma due to local variation output sigma due to global variation References 464 1 M Pelgrom A Duinmaijer and A Welbers Matching Properties of MOS Transistors IEEE Solid State Circuits vol 24 no 5 pp 1433 1439 May 1989 2 P R Kinget Device Mismatch and Tradeoffs in the Design of Analog Circuits IEEE J Solid State Circuits vol 40 no 6 pp 1212 1224 J une 2005 HSPICE Simulation and Analysis User Guide Y 2006 03 1 Optimization Describes optimization in HSPICE for optimizing electrical yield Overview Optimization automatically generates model parameters and component values from a Set of electrical specifications or measured data When you define an optimization program and a circuit topology HSPICE automatically selects the design components and model parameters to meet your DC AC and transient electrical specifications The circuit result targets are part of the MEASURE command structure and you use a MOD
554. t Analysis Using the BIASCHK Statement 324 Region Method This method is only for MOSFET models Three regions exist cutoff linear saturation When the specified transistor enters and exits during transient analysis the specified region is reported Example The following example is a netlist that uses the BIASCHK command for a transient simulation This example is based on demonstration netlist biaschk sp which is available in directory lt installdir gt demo hspice apps Test Case Transient simulation Tran 1n 8n Options Post NoMod biasfile result biaschk nmos terminall nd terminal2 nb max 006 biaschk nmos terminall nb terminal2 ns limit 006 noise 005 biaschk mos region saturation region linear mname nmos mname pmos biaschk c terminall n1 max 1 name c10 c14 c15 c8 c7 biaschk v net27 v net25 min 1e 10 max 1 simu all Smonitor op monitor dc monitor tr biaschk v net25 v 0 min 1le 5 max 0 1 v net31 simu tran biaschk mos terminall nb monitor 1 mname nmos simulation tran min 1u biaschk mos terminall nb monitor w mname nmos simulation tran min 10u biaschk mos terminall nb monitor i mname nmos simu op simu tran max 1m biaschk v net25 min 2 5 tstart 2n tstop 6n Sautostop v28 data gnd PWL Os 5v 1n 5v 2n Ov v27 clock gnd PWL Os Ov 3n Ov 4n 5v model nmos nmos level 2 model pmos pmos level 2 Global v
555. t and component temperatures and compare the circuit responses You can study the temperature dependent effects of the circuit in detail Monte Carlo analysis when you know the statistical standard deviations of component values to center a design This provides maximum process yield and determines component tolerances Worst case corner analysis when you know the component value limit to automate quality assurance for e basic circuit function process extremes quick estimation of speed and power trade offs e best case and worst case model selection parameter corners library files Data driven analysis for cell characterization response surface or Taguchi analysis See Performing Digital Cell Characterization in the HSPICE Applications Manual Automates characterization of cells and calculates the coefficient of polynomial delay for timing simulation You can simultaneously vary any number of parameters and perform an unlimited number of HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Simulating Circuit and Model Temperatures analyses This analysis uses an ASCII file format so HSPICE can automatically generate parameter values This analysis can replace hundreds or thousands of HSPICE simulation runs Use yield analyses to modify DC operating points DC sweeps AC sweeps Transient analysis CosmosScope can generate scatter plots from the operating point analys
556. t element name Must begin with U followed by up to 1023 alphanumeric characters interface Interface node in the circuit at which HSPICE measures the digital output reference Node to use as a reference for the output mname Digital output model reference U model SIGNAME Signal name as referenced in the digital output file header A string of up to eight alphanumeric characters Model Syntax MODEL mname U LEVEL 4 lt parameters gt Analog to Digital Output Model Parameters Table 13 Analog to Digital Parameters Name Alias Units Default Description RLOAD ohm l gmin Output resistance CLOAD farad 0 Output capacitance HSPICE 8 Simulation and Analysis User Guide 203 Y 2006 03 Chapter 5 Sources and Stimuli Digital and Mixed Mode Stimuli 204 Table 13 Analog to Digital Parameters Continued Name Alias Units Default Description SONAME State 0 character abbreviation A string of up to four alphanumerical characters SOVLO volt State 0 low level voltage SOVHI volt State 0 high level voltage S1NAME State 1 character abbreviation A string of up to four alphanumerical characters S1VLO volt State 1 low level voltage S1VHI volt State 1 high level voltage S19NAME State 19 character abbreviation A string of up to four alpbhanumerical characters S19VLO volt State 19 low level voltage S19VHI volt State 19 high level voltage TIMESTEP sec 1E 9 Step size for digital input file TIMESC
557. t from the bjtdiff Plot File window Two cascaded plots open 7 Fortwo signals in a plot right click on dim2 mag A Signal Menu opens 8 From the Signal Menu select Stack Region Analog 0 A plot opens similar to Figure 120 Figure 120 AC Analysis Result Plot of dim2 mag dim3 mag from bjtdiff acO Cred CIMA Frequamy rt 608 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using CosmosS cope Viewing HSPICE DC Analysis Waveforms To view HSPICE DC analysis waveforms do the following 1 From the Signal Manager dialog box select bjtdiff 1 and click on Close Plotfiles All AC plots waveforms close 2 Inthe Signal Manager click on Open Plotfiles 3 Inthe Open Plotfiles dialog Files of Type field select HSPICE DC sw 4 Click on bjtdiff swO and Open in the menu The PlotFile windows open 5 Hold down the Ctrl key and select all signals 6 Click on Plot from the bjtdiff Plot File window Four cascaded plots open 7 To see four signals in one plot right click on the name of the top mostsignal A Signal Menu opens 8 From the Signal Menu select Stack Region Analog 0 9 RepeatSteps 7 and 8 for the next two top most signals A plot opens similar to the one shown in Figure 121 HSPICE 8 Simulation and Analysis User Guide 609 Y 2006 03 Appendix B Full Simulation Examples Simulation Example Using CosmosS cope
558. t simulations use the LOAD statement to input HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis DC Statement DC Sweeps the contents of this file HSPICE saves the operating point by default even if the HSPICE input file does not contain a SAVE statement To not save the operating point specify SAVE LEVEL NONE You can save the operating point data as either an IC ora NODESET statement LOAD Statement Use the LOAD statement to input the contents of a file that you stored using the SAVE statement in HSPICE Note HSPICE RF does not support the SAVE and LOAD save and restart statements Files stored with the SAVE statement contain operating point data for the point in the analysis at which you executed SAVE Do notuse the LOAD command for concatenated netlist files DC Statement DC Sweeps You can use the DC statement in DC analysis to Sweep any parameter value HSPICE and HSPICE RF m Sweep any source value HSPICE and HSPICE RF m Sweep temperature range HSPICE and HSPICE RF Perform a DC Monte Carlo random sweep analysis HSPICE only not supported in HSPICE RF Perform a data driven sweep HSPICE and HSPICE RF Performa DC circuit optimization for a data driven sweep HSPICE and HSPICE RF Performa DC circuit optimization using start and stop HSPICE only not supported in HSPICE RF Perform
559. t subcircuit The extension concatenates this number with the internal node name to form the entire Subcircuits node name for example 10 M5 The output listing file cross references the node name Note HSPICE RF does notsupport short names for internal subcircuits such as 10 M5 To indicate the ground node use either the number 0 the name GND Or GND Every node should have at least two connections except for transmission line nodes unterminated transmission lines are permitted and MOSFET substrate HSPICE 8 Simulation and Analysis User Guide 47 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition 48 nodes which have two internal connections Floating power supply nodes are terminated with a 1Megohm resistor and a warning message Path Names of Subcircuit Nodes A path name consists of a sequence of subcircuit names starting at the highest level subcircuit call and ending at an element or bottom level node Periods separate the subcircuit names in the path name The maximum length of the path name including the node name is 1024 characters You can use path names in PRINT PLOT NODESET and IC statements as another way to reference internal nodes nodes not appearing on the parameter list You can use the path name to reference any node including any internal node Subcircuit node and element names follow the rules shown in Figure 8 on page 48 Figure 8 Subcircuit
560. t the simulation calculates based on circuit solution values Parameters can store static values for a variety of quantities resistance source voltage rise time and so on You can also use them in sweep or statistical analysis For descriptions of individual HSPICE commands referenced in this chapter see the Netlist Commands chapter in the HSPICE Command Heference Using Parameters in Simulation PARAM Defining Parameters Parameters in HSPICE are names that you associate with numeric values See Assigning Parameters on page 225 You can use any of the methods described in Table 16 to define parameters HSPICE 8 Simulation and Analysis User Guide 223 Y 2006 03 Chapter 6 Parameters and Functions Using Parameters in Simulation PARAM Table 16 PARAM Statement Syntax Parameter Simple assignment Description PARAM SimpleParam 1e 12 Algebraic definition PARAM lt AlgebraicP aram gt S impleP aram 8 2 SimpleParam excludes the output variable You can also use algebraic parameters in PRINT and PROBE statements HSPICE or HSPICE RF and in PLOT and GRAPH statements HSPICE only For example P RINT AlgebraicParam par algebraic expression You can use the same syntax for P ROBE P LOT and GRAPH statements See Using Algebraic Expressions on page 228 User defined function PARAM MyFunc x y gt S qrt x x H y y Character string definition PARAM lt paramname gt
561. tage across winding Volt HSPICE Simulation and Analysis User Guide Y 2006 03 8 Initializing DC O perating Point Analysis Describes DC initialization and operating point analysis For descriptions of individual HSPICE commands referenced in this chapter see the HSPICE Command Reference Simulation Flow Figure 35 shows the simulation flow for DC analysis in Synopsys HSPICE and HSPICE RF HSPICE Simulation and Analysis User Guide 287 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis Initialization and Analysis Figure 35 DC Initialization and Operating Point Analysis Simulation Flow Simulation Experiment DC Transient AC Operating point Sweep analysis DC related AC Monte Carlo simulation small signal analysis analysis DCMATCH SENS PZ TF OPTION Tolerance Matrix Convergence Limit ABSI ABSTOL TL1 CONVERGE GMAX RESMIN ABSMOS NOPIV CSHDC GMINDC ABSV PIVOT DCFOR GRAMP ABSVDC PIVREF DCHOLD GSHUNT KCLTEST PIVREL DCON ICSWEEP RELI PIVTOL DCSTEP NEWTOL RELMOS SPARSE DCTRAN OFF RELV NOTOP DV RELVDC Initialization and Analysis 288 Before it performs OP DC sweep AC or TRAN analyses HSPICE or HSPICE RF first sets the DC operating point values for all nodes and sources To do this HSPICE or HSPICE RF does one of the following Calcul
562. tatement match the calculated S parameters from the simulation results This optimization uses a 2n6604 microwave transistor and an equivalent circuit that consists of a BJ T with parasitic resistances and inductances The BJT is biased ata 10 mA collector current 0 1 mA base current at DC bias and bf 100 HSPICE Simulation and Analysis User Guide 485 Y 2006 03 Chapter 17 Optimization Overview 486 Key HSPICE Features Used NET command to simulate network analyzer action AC optimization Optimized element and model parameters Optimizing compares measured S Parameters to calculated parameters S Parameters used in magnitude and phase real and imaginary available Weighting of data driven frequency versus S Parameter table Used for the phase domain Input Netlist File for Optimizing BJT S Parameters BJTOPT SP BJT S PARAMETER OPTIMIZATION OPTION ACCT NOMOD POST 2 BJ T Equivalent Circuit Input Use the bjtopt sp netlist file located in your lt installdir gt demo hspice devopt directory for optimizing BJ T S Parameters Optimization Results RESIDUAL SUM OF SQUARES 5 142639e 02 NORM OF THE GRADIENT 6 068882e 02 MARQUARDT SCALING PARAMETER 0 340303 CO OF FUNCTION EVALUATIONS 170 NO OF ITERATIONS 35 The maximum number of iterations 25 was exceeded However the results probably are accurate Increase ITROPT accordingly Optimized Parameters OPT1 Final Values OPTIMIZED PARA
563. tax 448 simulation output 451 variation block options 450 MONTE keyword 554 MOS inverter circuit 320 op amp optimization 493 MOSFETs Index current flow 255 drain diffusion area 98 elements 97 283 initial conditions 98 node names 97 perimeter 98 power dissipation 259 source 98 99 squares 98 temperature differential 99 zero bias voltage threshold shift 99 MS Windows launcher 611 batch job list 615 multi jobs 614 msz file 15 17 mt file 16 17 19 multiple ALTER statements 54 multiply parameter 58 67 120 multipoint experiment 6 multithreading 24 mutual inductor 81 276 N NAND gate adder 508 natural log function 230 NDIM 158 NET parameter analysis 382 netlist 37 file example 39 flat 37 input files 29 schematic 37 structure 39 netlist file example 39 network output 265 387 nodal voltage output 252 261 nodes connection requirements 47 floating supply 48 internal 48 MOSFET s substrate 48 names 44 47 49 509 automatic generation 49 ground node 47 period in 45 629 Index subcircuits 47 48 zeros in 49 numbers 44 47 phase or magnitude difference 262 shorted 306 terminators 48 NODESET statement 288 DC operating point initialization 293 from SAVE 295 noise calculations 349 input 266 output 266 349 noise parameters 358 norm of the gradient 478 notepad exe 613 NPDELAY element parameter 197 NPWL function 189 numerical integration 333 O ODELAY statement 216 OFF parameter 290 one di
564. tax for MCcommand MONTE val list num val firstrun num list lt num1 num2 gt lt num3 gt lt num4 num5 gt gt Parameter Description val Specifies the number of random samples to produce val Specifies the sample number on which the simulation starts firstrun num list num Specifies the sample number to execute list num1 Samples from num1 to num2 sample num3 and samples from num4 num2 to num5 are executed parentheses are optional num3 lt num4 num5 gt The parameter values and results are always the same for a particular sample whether generated in one pass or using firstrun or the list syntax Therefore Monte Carlo analyses can be split or distributed and the results spliced together Examples In these examples a DC sweep is applied to a parameter k In the first case 10 samples are produced In the second case five samples are produced starting with sample number 6 In the last two examples samples 5 6 7 and 10 are simulated dc k start 2 stop 4 step 0 5 monte 10 dc k start 2 stop 4 step 0 5 monte 5 firstrun 6 dc k start 2 stop 4 step 0 5 monte list 5 7 10 dc k start 2 stop 4 step 0 5 monte list 5 7 10 HSPICE 8 Simulation and Analysis User Guide 449 Y 2006 03 Chapter 15 Monte Carlo Analysis Monte Carlo Analysis in HSPICE Variation Block Opti 450 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 15 Monte Carlo Analysis Simulat
565. tbe an integer If RB is largerthan the length of the NS an error is reported If RB is less than 1 itis automatically set to 1 R repeat Keyword to specify how many times the repeating operation is executed With no argument the source repeats from the beginning of the NS If R 1 the repeating operation continuse forever R must be an integer and if it is less than 1 itis automatically set to 0 If the componentis a b string it can also be followed by R repeat and RB val to specify the repeat time and repeating start bit Example FILE Pattern source using nested structure vl 10 pat 5 0 On 1n 1n 5n b1011 r 1 rb 2 bOm1z r 2 rb 2 td 5 29 4 HSPICE 8 Simulation and Analysis User Guide 151 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions When expanding the nested structure you get the pattern source like this 1011 rsi rb 2 b mi2 bOmiz b0miz The whole NS repeats twice and each time it repeats from the second bOomiz component Pattern Command Driven Pattern Source The following general syntax is for including a pattern command driven pattern source in an independent voltage or current source The RB and R of a b string or NS can be resetin an independent source With no argument the R and RB are the same when defined in the pattern command Syntax Vxxx n n PAT vhi vlo td tr tf tsample PatName lt RB val gt R repeat lt gt Ixxx n n PAT lt gt vhi vlo td tr
566. ter zi zp implements the zero pole form of the Z transform filter Zl CoBXpEr gu uo Ww T d og St L6 T HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 12 Using Verilog A Introduction to Verilog A Table 48 Verilog A Analog Operators and Filters Continued Operator Description Limited Limits exponential argument change from one iteration to the E xponential next limexp arg Mathematical Functions The following mathematical functions are available when using HSPICE with Verilog A Table 49 Verilog A Mathematical Functions Function Description Domain Return Value In natural log x gt 0 real log x log base 10 x gt 0 real exp x exponential x lt 80 real sqrt x square root x gt 0 real min x y Minimum of x and y all x y If either is real returns real otherwise returns the type of x y max xy Maximumofxandy allx y If either is real returns real otherwise returns the type of x y abs x absolute value all x same as x pow xy x y ifx gt 0 all real y if x 0 int y floor x Floor all x real ceil x Ceiling all x real HSPICE 8 Simulation and Analysis User Guide 401 Chapter 12 Using Verilog A Introduction to Verilog A Transcendental Functions The following mathematical functions are available when using HSPICE with Verilog A Table 50 Verilog A Transcendental Function Function sin x cos x tan x asin x acos x atan x atan2 x y hypot x y
567. ter to the drain data After optimization HSPICE compares the model to the data The Post option generates AvanWaves files to compare the model to the data Figure 66 on page 476 shows the results HSPICE amp Simulation and Analysis User Guide 473 Y 2006 03 Chapter 17 Optimization Overview 474 DC Optimization Input Netlist File for Level 13 Model This example is based on demonstration netlist mll3o0pt sp which is available in directory lt installdir gt demo hspice mos SLEVEL 13 mosfet optimization tighten the simulator convergence properties OPTION nomod post 2 newtol relmos 1e 5 absmos 1e 8 MODEL optmod OPT itropt 30 Circuit Input vds 30 0 vds vgs 20 0 vgs vbs 40 0 vbs m1 30 20 0 40 nch w 50u 1 4u Sus process skew parameters for this data PARAM xwn 0 3u xln 0 1u toxn 196 6 rshn 67 the model and initial guess MODEL nch NMOS LEVEL 13 acm 2 ldif 0 hdif 4u tlev 1 n 2 capop 4 meto 0 08u xqc 0 4 parameters obtained from measurements wd 0 15u 1d 0 07u js 1 5e 04 jsw 1 8e 09 cj 1 7e 04 cjsw 3 8e 10 parameters not used for this data k2 0 eta0 0 x2e 0 x3e 0 x2u120 x2ms 0 x2u0 0 x3u1z0 process skew parameters toxm toxn rsh rshn xw xwn xl xln optimized parameters vfbO zvfbO0 ki1 k1 x2m x2m muz muz u00 u00 mus mus x3ms x3ms ul ul impact ionization parameters alpha alpha vcr 15 PARAM vfbO opti 0 5 2 1 k1 opt1 0 6 0 3 1 muz 0pt1 600 300 1500 x2m opt
568. ters However measurement parameters are not defined in a PARAM statement but directly in the MEASURE statement For more information see MEASURE Parameter Types on page 269 Altering Design Variables and Subcircuits The following rules apply when you use an ALTER block to alter design variables and subcircuits in HSPICE This section does not apply to HSPICE RF m fthe name of a new element MODEL statement or subcircuit definition is identical to the name of an original statement of the same type then the new statement replaces the old Add new statements in the input netlist file Youcan alterelementand MODEL statements within a subcircuit definition You can also add a new element or MODEL statement to a subcircuit definition To modify the topology in subcircuit definitions put the element into libraries To add a library use LIB to delete use DEL LIB fa parameter name in a new PARAM statement in the ALTER module is identical to a previous parameter name then the new assigned value replaces the old value If you used parameter variable values for elements or model parameter values when you used ALTER use the PARAM statement to change these parameter values Do not use numerical values to redescribe elements or model parameters If you used an OPTION statement in an original input file or a ALTER block to turn on an option you can turn that option off Each ALTER simulation
569. ters and Expressions Parameter names in HSPICE or HSPICE RF use HSPICE name syntax rules exceptthat names must begin with an alphabetic character The other characters must be either a number or one of these characters 14 S To define parameter hierarchy overrides and defaults use the OPTION PARHIER global local statement If you create multiple definitions for the same parameter or option HSPICE or HSPICE RF uses the last parameter definition or OPTION statement even if that definition occurs later in the input than a reference to the parameter or option HSPICE or HSPICE RF does not warn you when you redefine a parameter You must define a parameter before you use that parameter to define another parameter When you select design parameter names be careful to avoid conflicts with parameterized libraries To delimit expressions use single or double quotes Expressions cannot exceed 1024 characters For improved readability use a double slash X atend ofa line to continue the line You can nest functions up to three levels Any function that you define can contain up to two arguments Use the PAR expression or parameter function to evaluate expressions in output statements Input Netlist File Structure An input netlist file should consist of one main program and one or more optional submodules Use a submodule preceded by an ALTER statement to automatically change an input netlist file then rer
570. tf tsample Patname lt RB val gt lt R repeat gt lt gt Additional syntax applies to the PAT Command driven pattern source PAT lt PatName gt data lt RB val gt lt R repeat gt PAT lt patName gt component 1 component n lt RB val gt lt R repeat gt The PatName is the pattern name that has an associated b string or nested structure Example 1 v1 10 pat 5 0 On 1n 1n 5n al a2 r 2 rb 2 PAT al b1010 r 1 rb 1 PAT a2 b0101 r 1 rb 1 The final pattern source is b1010 r 1 rb 1 b0101 rs2 rbs2 When the independent source uses the pattern command to specify its pattern source r and rb can be reset Example 2 FILE 2 Pattern source driven by pattern command v1 10 pat 5 0 On 1n 1n 5n al b0011 r 1 rb 1 PAT al b1010 b0101 r 0 rb 1 152 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions The final pattern source is b1010 b0101 b0011 b1010 b0101 Db0011 The a1 is a predefined NS and it can be referenced by pattern source Pseudo Random Bit Generator Source HSPICE or HSPICE RF Pseudo Random Bit Generator Source PRBS function in an independent voltage or current source This function can be used in several applications from cryptography and bit error rate measurement to wireless communication systems employing spread spectrum or CDMA techniques In general PRBS uses a Linear Feedback Shift Register LFSR to generate a pseudo random
571. that the circuit failed to converge The cause of the failure can be that HSPICE or HSPICE RF cannot use stated initial conditions to calculate the actual DC operating point DC Initialization and Operating Point Calculation You use a OP statement in HSPICE or HSPICE RF to Calculate the DC operating point of a circuit Produce an operating point during a transient analysis A simulation can only have one oP statement OP Statement Operating Point When you include an oP statement in an input file HSPICE or HSPICE RF calculates the DC operating point of the circuit You can also use the OP Statement to produce an operating point during a transient analysis You can include only one oP statement in a simulation If an analysis requires calculating an operating point you do not need to specify the OP statement HSPICE or HSPICE RF calculates an operating point If you use a OP statement and if you include the UIC keyword in a TRAN analysis statement then simulation omits the time 0 operating point analysis and issues a warning in the output listing Output OPERATING POINT INFORMATION TNOM 25 000 TEMP 25 000 OPERATING POINT STATUS IS ALL SIMULATION TIME IS O0 NODE VOLTAGE NODE VOLTAGE NODE VOLTAGE 0 220 0 3 437 3258M 0 4 455 1343M HSPICE 8 Simulation and Analysis User Guide 291 Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis DC Initialization and Operating Point Calculation
572. third node Wwww Element name If the element is within a subcircuit then to access its current output append a dot and the subcircuit name to the element name For example IM3 X1 Wwww PRINT AC IP1 Q5 IM1 Q5 IDB4 X1 M1 If you use the form In Xxxx for AC analysis output then HSPICE or HSPICE RF prints the magnitude value IMn Xxxx Current Subcircuit Pin Syntax ISUB X OK Example PROBE ISUB X1 PIN1 Group Time Delay The TD group time delay is associated with AC analysis TD is the negative derivative of the phase in radians with respect to radian frequency HSPICE or HSPICE RF uses the difference method to compute TD 1 phase2 phasel TD 2 MM 360 f2 fl phase1 and phase2 are the phases in degrees of the specified signal at the fl and f2 frequencies in hertz Syntax PRINT AC VT 10 VT 2 25 IT RL PLOT AC IT1 Q1 IT3 M15 IT D1 Note Because the phase has a discontinuity every 360x TD shows the same discontinuity even though TD is continuous The PRINT example applies to both HSPICE and HSPICE RF butthe PLOT example applies only to HSPICE HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters Example INTEG SP ACTIVE INTEGRATOR ix INPUT LISTING kckckok VIL X XR E AC RL i 2 2K 3 0 cI 2 5NF E3 3 2 0 1000 0 AC DEC 15 1K 100K PLOT AC VT 3 0 4U VP 3 E
573. this example the nine stage ladder model is in data file installdirldemo hspice apps asic3 sp To optimize this model HSPICE uses measured data from a wide channel transistor as the target data Optimization produces a nine stage ladder model which matches the timing characteristics of the physical data HSPICE RF does not support optimization HSPICE compares the simulation results for the nine stage ladder model and the one stage model by using the nine stage ladder model as the reference The one stage model results are about 1096 faster than actual physical data indicates Example You can find the sample Nine Stage Ladder model netlist for this example in the following directory installdir demo hspice apps asic3 sp HSPICE 8 Simulation and Analysis User Guide 523 Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input F iles Figure 92 Asic3 Single vs Lumped Model FILE ASIC2 SP TEST OF I O STAGE LUMPED MOS MODEL APRIL 24 2004 16 02 35 WM PARE i SINE a Lo i ae cardo PARI CCYLUHP ye 2 Gee c ee Pid E A z d S z E lt 3 nd Nes E ceu ded duet wd 400 0 600 0 800 0 TIME LIN Demonstration Input Files File Name Description Algebraic Output Variable Examples installdir demo hspice alge alg sp demonstrates algebraic parameters alg fil sp magnitude response of the behavioral filter model alg vco sp voltage controlled oscillator alg
574. time R and repeating start bit RB cannot use a parameter it is considered as a undivided unit in HSPICE and can only be defined in a PAT command FILE pattern source using parameter param td 40ps tr 20ps tf 80ps tsample 400ps VIN 10 PAT 20 td tr tf tsample b1010110 r 2 ri 1 0 1 In this pattern High voltage is 2 V ow voltage is 0 V Time delay is 40 ps HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Rise time is 20 ps Fall time is 80 ps Sample time is 400 ps Data is 1010110 Nested Structure Pattern Source HSPICE provides Nested Structure NS for the pattern source function to construct complex waveforms NS is a combination of a b string and other nested structures defined in a PAT command which is explained later in this section The following general syntax is for an NS pattern source Syntax Vxxx n n PAT vhi vlo td tr tf tsample component 1 component n RB val R repeat lt gt Ixxx n n PAT vhi vlo td tr tf tsample component 1 component n RB val R repeat lt gt Parameter Description component Componentis the elementthat makes up NS which can be a b string or a patname defined in other par commands Brackets must be used RB val Keyword to specify the starting component when repeating The repeat data starts from the component indicated by RB RB mus
575. tion PL a piecewise linear function PWL and PL are the same piecewise linear function except PL uses the v1 t1 pair instead of the t1 v1 pair SIN a damped sinusoidal function EXP an exponential function SFFM a single frequency FM function AM an amplitude modulation function HSPICE 8 Simulation and Analysis User Guide 163 Y 2006 03 Chapter 5 Sources and Stimuli Power Sources 164 Syntax If you use the power keyword in the netlist then simulation recognizes a current voltage source as a power source Vxxx node node power powerVal powerFun imp valuel imp ac value2 value3 powerFun lt FREQ lt TIME gt gt Ixxx node node power lt powerVal powerFun imp valuel imp ac value2 value3 powerFun lt FREQ lt TIME gt gt Parameter Description powerVal A constant power source supplies the available power If you specify POWER DB then the value is in decibels otherwise it is in Watts POWER SCAL where POWER SCAL is a scaling factor that you specify in a SCALE option default 1 powerF un This function name indicates the time variant or frequency variant power source In this equation powerFun defines the functional dependence on time or frequency If the function name for powerFun is FREQ then itis a frequency power source FREQ freq1 vall freq2 val2 Ifthe function name for powerFun is TIME then itis a piece wise time variant function TIME t1 va
576. tion of the G Element parameters see G Element Parameters on page 189 Use the NPWL and PPwL functions to interchange the n and n nodes but use the same transfer function The following summarizes this action HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Voltage Dependent Current Sources G Elements NPWL Function For the in node connected to n If v n n lt 0 then the controlling voltage is v in in Otherwise the controlling voltage is v in n HSPICE 8 Simulation and Analysis User Guide 189 Y 2006 03 Chapter 5 Sources and Stimuli Voltage Dependent Current Sources G Elements Parameter Description DELAY Keyword for the delay element Same as in the voltage controlled current source but has an associated propagation delay TD Adjusts propagation delay in macro subcircuit modeling DELAY is a keyword do notuse itas a node name DELTA Controls curvature of piecewise linear corners Defaults to 1 4 of the smallest distance between breakpoints Maximum is 1 2 of the smallest distance between breakpoints G Xxx Name of the voltage controlled element Must begin with G followed by up to 1023 alphanumeric characters gatetype k AND NAND OR or NOR The kparameter is the number of inputs of the gate xand yrepresent the piecewise linear variation of the output as a function of the input In multi input gates only one input determines the state of the output
577. tion Uniform Distribution Population Population Abs 3 Sigma Abs variation variation gt lt Nom_value Nom_value Rel_variation Abs_variation Nom_value Monte Carlo Setup To set up a Monte Carlo analysis use the following HSPICE statements PARAM statement sets a model or element parameter to a Gaussian Uniform or Limit function distribution DC AC Or TRAN analysis enables MONTE MEASURE Statement calculates the output mean variance sigma and standard deviation MODEL Statement sets model parameters to a Gaussian Uniform or Limit function distribution Select the type of analysis to run such as operating point DC sweep AC sweep or TRAN sweep Operating Point DC MONTE firstrun numi Of DC MONTE 1ist lt gt numi num2 num3 lt num5 num6 gt num7 lt gt 554 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix A Statistical Analysis Monte Carlo Analysis DC Sweep DC vin 1 5 0 25 sweep MONTE val lt firstrun num1 gt Of DC vin 1 5 0 25 sweep MONTE 1ist numi num2 lt num3 gt lt num5 num6 gt lt num7 gt lt gt AC Sweep AC dec 10 100 1meg sweep MONTE val lt firstrun num1 gt Of AC dec 10 100 1meg sweep MONTE list lt gt numi num2 num3 lt num5 num6 gt lt num7 gt lt gt TRAN Sweep TRAN 1n 10n sweep MONTE val firstrun numi Or TRAN 1n 10n sw
578. tion and Analysis User Guide 161 Y 2006 03 Chapter 5 Sources and Stimuli Voltage and Current Controlled Elements Piecewise Linear Function You can use the one dimensional piecewise linear PWL function to model special element characteristics such as those of tunnel diodes Ssilicon controlled rectifiers diode breakdown regions To describe the piecewise linear function specify measured data points Although data points describe the device characteristic HSPICE or HSPICE RF automatically smooths the corners to ensure derivative continuity This in turn results in better convergence The DELTA parameter controls the curvature of the characteristic at the corners The smaller the DELTA the sharper the corners are The maximum DELTA is limited to half of the smallest breakpoint distance If the breakpoints are sufficiently separated specify the DELTA to a proper value You can specify up to 100 point pairs m You must specify at least two point pairs each point consists of an x and a y coefficient To model bidirectional switch or transfer gates G elements use the NPWL and PPWL functions which behave the same way as NMOS and PMOS transistors You can also use the piecewise linear function to model multi input AND NAND OR and NOR gates In this usage only one input determines the state of the output In AND and NAND gates the input with the smallest value determines the corresponding output of the gate
579. tion effects dradarb2 sp example of diode radiation effects jexl sp example of J FET radiation effects HSPICE Simulation and Analysis User Guide 537 Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input F iles 538 File Name Description jex2 sp example of J FET radiation effects jprad1 sp example of J FET radiation effects jprad2 sp example of J FET radiation effects jprad4 sp example of J FET radiation effects jradl sp example of J FET radiation effects jrad2 sp example of J FET radiation effects jrad3 sp example of J FET radiation effects jrad4 sp example of J FET radiation effects jrad5 sp example of J FET radiation effects jrad6 sp example of J FET radiation effects mrad1 sp example of MOSFET radiation effects mrad2 sp example of MOSFET radiation effects mrad3 sp example of MOSFET radiation effects mrad3p sp example of MOSFET radiation effects mrad3px sp example of MOSFET radiation effects radl sp example of total MOSFET dose rad2 sp diode photo current test circuit rad3 sp diode photo current test circuit RLEV 3 rad4 sp diode photo current test circuit rad5 sp BJ T photo current test circuit with an NPN transistor rad6 sp BJ T secondary photo current effect which varies with R1 rad7 sp BJT RLEV 6 example semi empirical model HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19
580. tion of Device Geometry Goncl slon r iaaa LEER RARE De Full Simulation Examples Simulation Example Using AvanWaves Input Netlist and Circuit 5 Execution and Output Files Example 42e pA eg RUDQI ES Example lis 2n Example stQ 2cscoc ati aa Simulation Graphical Output in AvanWaves Simulation Example Using CosmosScope Input Netlist and Circuit 4 Execution and Output Files View HSPICE Results in CosmosScope Viewing HSPICE Transient Analysis Waveforms Viewing HSPICE AC Analysis Waveforms Viewing HSPICE DC Analysis Waveforms 556 556 559 560 560 563 565 566 568 570 571 578 578 580 581 581 582 583 583 584 585 587 587 589 590 590 593 596 602 602 604 605 605 607 609 Contents C HSPICE GUI for Windows ss sss RR amp Working with Designs 0 0 0 0 Ke Configuring the HSPICE GUI for Windows sse ee Running Multiple Simulations lisse esee Building the Batch Job List Simulating the Batch Job List 0 2 essere Using the Drag and drop Functions 0 0 0 0 esses 611 611 613 614 615 616 616 617 xxi Contents xxii About This Manual This manual describes how to use HSPICE to simulate and analyze your circuit designs Inside This Manual This manual contains the chapters
581. to IC OFF 292 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 8 Initializing DC Operating Point Analysis DC Initialization and Operating Point Calculation Example This example describes an H element dependent voltage source HXCC 13 20 VIN1 VIN2 IC 0 5 1 3 The current through VIN1 initializes to 0 5 mA The current through VIN2 initializes to 1 3 mA Initial Conditions Use the 1C statement or the DCVOLT statement to set transient initial conditions in HSPICE but notin HSPICE RF How itinitializes depends on whether the TRAN analysis statement includes the UIC parameter Note In HSPICE RF IC is always set to OFF If you specify the UIC parameter in the TRAN statement HSPICE does not calculate the initial DC operating point but directly enters transient analysis Transient analysis uses the rc initialization values as part of the solution for timepoint zero calculating the zero timepoint applies a fixed equivalent voltage source The Ic statement is equivalent to specifying the IC parameter on each element statement but is more convenient You can still specify the IC parameter but it does not have precedence over values set in the IC statement If you do notspecify the UIC parameter in the TRAN statement HSPICE computes the DC operating point solution before the transient analysis The node voltages that you specify in the rc statement are fixed to determine the DC operating po
582. tomatic calculation of group delay matrices In addition HSPICE RF supports all existing HSPICE RF models For noise analysis HSPICE and HSPICE RF view port 1 as the input and port 2 as the output 380 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis Extracting Mixed Mode Scattering S Parameters Prerequisites and Limitations The following prerequisites and limitations apply to LIN analysis in HSPICE RF Requires one LIN statement to specify calculation options m Requires one Ac statement to specify frequency sweep and parameter sweep Requires atleast one P element numbered from port 1 to N For noise analysis HSPICE RF views port 1 as the input and port 2 as the output Reported Statistics for the Performance Log HSPICE RF Only Simulation time e DC op time e Total simulation time Memory used e Total memory Errors and Warnings fthe circuit contains fewer than two P Elements and noisecalc 1 then the 2 port noise calculation is skipped If the circuit contains fewer than two P Elements does not let you cannot use the PRINT PROBE Or MEAS command with any two port noise or gain parameters If the circuit contains more than two P Elements all two port parameters are computed By default port 1 is the input and port z2 is the output All other ports terminate in their reference impedances Example OPTION POST 2
583. tor C99 in out POLY 2 0 0 5 0 01 HSPICE 8 Simulation and Analysis User Guide 73 Y 2006 03 Chapter 4 Elements Passive Elements 74 Linear Capacitors Cxxx nodel node2 modelname gt C gt value TCl val gt lt TC2 val gt lt W val gt L val gt DTEMP val gt lt M val gt lt SCALE val gt lt IC val gt Parameter C Xxx nodel and node2 Description Name ofa capacitor Must begin with C followed by up to 1023 alphanumeric characters Names or numbers of connecting nodes value Nominal capacitance value in Farads modelname Name of the capacitor model C Capacitance in Farads at room temperature TC1 TC2 S pecifies the temperature coefficient W Capacitor width L Capacitor length M Multiplier to simulate multiple parallel capacitors DTEMP Temperature difference between element and circuit SCALE Scaling factor IC Initial capacitor voltage Example Cbypass 1 0 10PF C1 2 3 CBX MODEL CBX C CB B 0 10P IC AV CP X1 XA 1 0 0 1P In this example Cbypass is a straightforward 10 picofarad PF capacitor m C1 which calls the CBX model does not have a constant capacitance HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements CB is a10 PF capacitor with an initial voltage of 4V across it CP is a0 1 PF capacitor Frequency Dependent Capacitors You can specify frequency dependent capacitors using the
584. tor width Default 0 0 if you did not specify W in the model Capacitance connected from node n2 to bulk Default 0 0 if you did not specify C in a resistor model user defined Can be a function of any node voltages element currents temperature equation frequency or time NOISE NOISE 0 do not evaluate resistor noise NOISE 1 evaluate resistor noise default Resistance can be a value in units of ohms or an equation R equired parameters are the two nodes and the resistance or model name If you specify other parameters the node and model name must precede those parameters Other parameters can follow in any order If you specify a resistor model see the Passive Device Models chapter in the HSPICE Elements and Device Models Manual the resistance value is optional HSPICE Examples In the following example the R 1 resistor connects from the Rnodel node to the Rnode2 node with a resistance of 100 ohms R1 Rnodel Rnode2 100 The RC1 resistor connects from node 12 to node 17 with a resistance of 1 kilohm and temperature coefficients of 0 001 and 0 RC1 12 17 R 1k TC1 0 001 TC2 0 The Rterm resistor connects from the input node to ground with a resistance determined by the square root of the analysis frequency non zero for AC analysis only HSPICE 8 Simulation and Analysis User Guide 67 Y 2006 03 Chapter 4 Elements Passive Elements 68 Rterm input gnd R sqgrt HERTZ The Rxxx resistor from node
585. tors The DC block acts as an open circuitfor all DC analyses HSPICE calculates the DC voltage across the nodes ofthe circuit In all other non DC analyses a DC voltage source of this value represents the DC block HSPICE does not allow dv dt variations HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 4 Elements Passive Elements Charge Conserved Capacitors Cxxx nodel node2 q expression HSPICE supports AC DC TRAN and PZ analyses for charge conserved capacitors The expression supports the following parameters and variables Parameters node voltages branch currents Variables e time temper hertz Note The hertz variable is not supported in transient analyses Parameters must be used directly in an equation HSPICE does not support parameters that represent an equation containing variables Error Handling If you use an unsupported parameter in an expression HSPICE issues an error message and aborts the simulation HSPICE ignores unsupported analysis types and then issues warning a message Limitations The following syntax does not support charge conserving Capacitors Cxx nodel node2 C expression Capacitor equations are notimplicitly converted to charge equations Example 1 Capacitance based Capacitor C1 a b C2 Co 1 alpha V a b ctype 0 You can obtain Q by integrating C w r t V a b Example 2 Charge based Capacitor C1 a b Q Co V a b 1 0 5 a
586. tp solvnet synopsys com DocsOnWeb The Synopsys MediaDocs Shop from which you can order printed copies of Synopsys documents at http mediadocs synopsys com HSPICE Simulation and Analysis User Guide Y 2006 03 About This Manual Conventions You might also wantto refer to the documentation for the following related S ynopsys products CosmosScope Aurora Raphael VCS Known Limitations and Resolved STARs You can find information about known problems and limitations and resolved S ynopsys Technical Action Requests STARs in the HSPICE Release Notes in SolvNet To see the HSPICE Release Notes l 2 Go to https solvnet synopsys com R eleaseNotes If prompted enter your user name and password If you do nothave a Synopsys user name and password follow the instructions to register with SolvNet Click HSPICE then click the release you want in the list that appears at the bottom Conventions The following conventions are used in Synopsys documentation Convention Description Courier Indicates command syntax Italic Indicates a user defined value such as object name Bold Indicates user input text you type verbatim in syntax and examples Denotes optional parameters such as write file f filename HSPICE amp Simulation and Analysis User Guide xxvii Y 2006 03 About This Manual Customer Support Convention Description Indicates that parameters can be repeated as
587. treated as expected inductors are treated as short circuits Mutual coupling is ignored for DC Inductors that use the INFINITY keyword can be coupled with IDEAL K elements In this situation all inductors involved must have the INFINITY value and for KZ IDEAL the ratio of all L values is unity Then for two L values v2 vl i2 i120 HSPICE 8 Simulation and Analysis User Guide 83 Y 2006 03 Chapter 4 Elements Passive Elements Example 1 This example is a standard 5 pin ideal balun transformer subcircuit Two pins are grounded for standard operation With all K values being IDEAL the absolute L values are not crucial only their ratios are important ES all K s ideal o outi xa Lo1l 25 XU Onasin o 0 tee o 0 AE Lin 1 Lo2 25 Ae Qu Olas ee c 0 xe o out2 k k subckt BALUN1 in outl out2 Lin in gnd L 1 Lol outl gnd L 0 25 Lo2 gnd out2 L 0 25 K12 Lin Lol IDEAL K13 Lin Lo2 IDEAL K23 Lol Lo2 IDEAL ends Example 2 This example is a 2 pin ideal 4 1 step up balun transformer subcircuit with shared DC path no DC isolation Input and output have a common pin and both inductors have the same value Note that Rload 4 R in 2 all K s ideal X y O o out in Bist BA RSS o 0 L2 BSNS o out2 With all K s ideal the actual L s values are not important only their ratio to each other subckt BALUN2 in out2 L1 in gnd L 1 L2 gnd out2 L 1 K12 L1 L2 IDEAL ends 84
588. two bit MOS adder opampdcm sp DCmatch analysis pll sp phase locked loop sclopass sp switched capacitor low pass filter worst sp worst case skew models by using ALTER xbjt2bit sp BJ T NAND gate two bit binary adder Behavioral Applications installdir demo hspice behave acl sp acl gate amp mod sp amplitude modulator with pulse waveform carrier behave sp AND NAND gates by using G E Elements calg2 sp voltage variable capacitance det dff sp double edge triggered flip flop diff sp differentiator circuit diode sp behavioral diode by using a PWL VCCS dlatch sp CMOS D latch by using behaviorals galg1 sp sampling a sine wave idealop sp ninth order low pass filter integ sp integrator circuit invb op sp optimizes the CMOS macromodel inverter IVX sp characteristics of the PMOS and NMOS as a switch op amp sp op amp from Chua and Lin pd sp phase detector modeled as switches 526 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input Files File Name Description pdb sp phase detector by using behavioral NAND gates pwl10 sp operational amplifier used as a voltage follower pwl2 sp PPW VCCS with a gain of 1 amp volt pwl4 sp eight input NAND gate pwl7 sp modeling inverter by using a PWL VCVS pwl8 sp smoothing the triangle waveform by using the PWL CCCS ring
589. uctor devices HSPICE or HSPICE RF calculates only the dissipated power It excludes the power stored in the device junction or parasitic capacitances from the device power computation The following sections show equations for calculating the power that different types of devices dissipate HSPICE or HSPICE RF also computes the total power dissipated in the circuit which is the sum of the power dissipated in Devices Resistors Independent current sources All dependent sources For hierarchical designs HSPICE or HSPICE RF also computes the power dissipation for each subcircuit Note For the total power dissipated power stored power HSPICE or HSPICE RF does notadd the power of each independent source voltage and current sources 256 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Selecting Simulation Output Parameters Print or Plot Power Note To outputthe instantaneous element power and the total power dissipation use a PRINT Or PLOT statement in HSPICE HSPICE RF does not support PLOT statements or power variables in DC transient analysis PRINT DC TRAN P element or subcircuit name POWER HSPICE calculates power only for transient and DC sweep analyses Use the MEASURE statement to compute the average RMS minimum maximum and peak to peak value of the power The POWER keyword invokes the total power dissipation output HSPICE RF supports p instanc
590. udes voltages and currents Example PRINT AC Z11 R Z12 R Y21 I Y22 S11 11 DB Z11 T PRINT AC ZIN R ZIN I YOUT M YOUT P H11 M H11 T PLOT AC S22 M S22 P S21 R H21 P H12 R S22 T HSPICE 8 Simulation and Analysis User Guide 387 Y 2006 03 Chapter 11 Linear Network Parameter Analysis NET Parameter Analysis Bandpass Netlist Network Analysis Results This example is based on demonstration netlist fopnet sp which is available in directory lt installdir gt demo hspice filters fi xk xo X le fbpnet sp network analysis scattering parameters computations input and output impedance computations computation of frequecy where zin cross 50 ohm computation of phase of zin when zin cross 50 ohm options dcstep 1 post band pass filter cI 11 c2 c3 c4 12 c5 c6 13 c7 c8 c9 c1 14 c1 c1 15 c1 in 2 3 166pf 2 3 203nh 0 3 76pf 4 1 75pf 0 9 1pf 0 36 81nh 5 1 07pf 0 6 d 0 8 3 13p f 233 17nh 5 92pf 4 51pf 1 568pf 080 8 866pf 8 0 35 71nh 18 9 2 06pf 29 0 4 3pf 9 10 200 97nh 3 10 out 2 97pf 1 OY Ui Ui PS BWW rx out 0 1e14 vin in 0 ac 1 ac lin 250 200meg 300meg net v out vin rout 50 rin 50 p p robe ac sli db s11 p s21 db s21 p robe ac zin m zin p meas ac cross50 when zin m 50 td 230meg meas ac phase50 find zin p when zin m 50 td 230meg end 388 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapte
591. ues v110PWL 001n10 999U11u0 R TRANFORHB 1 To override the global TRANFORHB option explicitly set TRANFORHB for a voltage or current source DCOPEN S witch for open DC connection when DC mag is not set 0 default P element behaves as an impedance termination 1 P elementis considered an open circuit in DC operating point analysis DCOPEN 1 is mainly used in LIN analysis so the P element will not affect the self biasing device under test by opening the termination at the operating point z0 val LIN analysis S ystem impedance used when converting to a power source inserted in series with the voltage source Currently this only supports real impedance When power 0 z0 defaults to 0 When power 1 z0 defaults to 50 ohms You can also enter zo val HSPICE Simulation and Analysis User Guide 127 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Elements Parameter Description lt R DC val gt DC analysis Series resistance overrides z0 lt R AC val gt AC analysis Series resistance overrides z0 lt RHBAC val gt HSPICE RF HBAC analysis Series resistance overrides z0 lt R HB val gt HSPICE RF HB analysis Series resistance overrides z0 lt RTRAN val gt Transient analysis Series resistance overrides z0 lt power 0 1 2 W dbm HSPICE RF power switch When 0 default element treated as a voltage or current source When 1 or W element treated as
592. uit call statement with the DDL element call The DDL element statement includes the model name which the actual DDL library file uses For example the following element statement creates an instance of the 1N4004 diode model X1 2 1 D1NA004 Where D1N4004 is the model name See Element and Source Statements on page 41 and the HSPICE Elements and Device Models Manual for descriptions of element statements Optional parameter fields in the element statement can override the internal specification of the model For example for op amp devices you can override the offset voltage and the gain and offset current Because the DDL library devices are based on HSPICE circuit level models simulation automatically compensates for the effects of supply voltage loading and temperature HSPICE or HSPICE RF accesses DDL models in several ways The installation script creates an hspice ini initialization file HSPICE or HSPICE RF writes the search path for the DDL and vendor libraries into a OPTION SEARCH lib path statement This provides immediate access to all libraries for all users It also automatically includes the models in the input netlist If the input netlist references a model or subcircuit HSPICE or HSPICE RF searches the directory to which the DDLPATH environment variable points for a file with the same name as the reference name This file is an include file so its filename suffix is inc HSPICE installation sets the
593. ulation 0 0 00 tae 11 Setting Environment Variables 0 0 0 0 cece 11 Setting License Variables 1 0 0 cece eee 11 License Queuing garei Di ee Re Asa wae A A se dees 12 Standard Input Files 1 2 or sje dm be REPERI EISE eee R 13 Design and File Naming Conventions 0 0 0 esee 13 Output Configuration File lisse 14 Initialization File 2 2 2 kad a utet oe ad dled RE ER BG IRE a 14 DC Operating Point Initial Conditions File 0 000 14 Input NetlistFile epe os RR eR Rex Ree iD e ae o 15 Library Input File sic mese aite piper ep E EO P Mew he wa IRAE 15 Analog Transition Data File 0 ce 15 Standard Output Files lisse 15 AC Analysis Results File llle 16 AC Analysis Measurement Reults File llle 16 Contents DC Analysis Results File lisse DC Analysis Measurement Results File 0 0 cece eee ees Digital Output File eee ees ee ee Rd RE ee FFT Analysis Graph Data File 0 ccc eee Hardcopy Graph Data File uaau a cena Operating Point Information File llle Operating Point Node Voltages File 1 cc cece ees Output Listing File 8 eo Ere Rer 4k RR RR CAS Output Status File senile ck eRirere 4e Re re eR RR Eee dua Output Tables Subcircuit Cross Listing File 0 0 ete Transient Analysis Measurement Results File 0 e eee Transient Analysis Results File 0 ccc cee ee eens Running HSP
594. ulation and Analysis User Guide 169 Y 2006 03 Chapter 5 Sources and Stimuli Voltage dependent Voltage Sources E Elements The first column is frequency in hertz The second column is magnitude in dB The third column is phase in degrees Setthe LEVEL to 1 for a high pass filter Setthe last frequency point to the highest frequency response value that is a real number with zero phase You can use parameters to set the frequency magnitude and phase in the table Foster Pole Residue Form Gain E s form Exxx n n FOSTER in in k0 k1 Re A1 Im A1 Ref p1 Im p1 Re A2 Im A2 Retp2 Im p2 Re A3 Im A3 Re p3 Im p3 For a description of these parameters see E Element Parameters on page 173 Tranconductance G s form Gxxx n n FOSTER in in k0 kl Re A1 Im A1 Re p1 Im p1 Re A2 Im A2 Re p2 Im p2 Re A3 Im A3 Re p3 Im p3 In the above syntax paranthesis commas and slashes are separators they have the same meaning as a space A pole residue pair is represented by four numbers real and imaginary part of the residue then real and imaginary part of the pole You must make sure that Re pi 0 otherwise the simulations will certainly diverge Also itis a good idea to assure passivity of the model for an N port admittance matrix Y Re Y should be positive definite or the simulation is likely to diverge For a descri
595. un the simulation with different options netlist analysis statements and test vectors You can use several high level call statements INCLUDE LIB and DEL LIB to restructure the input netlist file modules These statements can call netlists model parameters test vectors analysis and option macros into a file HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Guidelines from library files or other files The input netlist file also can call an external data file which contains parameterized data for element sources and models You must enclose the names of included or internally specified files in single or double quotation when they begin with a number 0 9 Schematic Netlists HSPICE or HSPICE RF typically use netlisters to generate circuits from schematics and accept either hierarchical or flat netlists The process of creating a schematic involves Symbol creation with a symbol editor Circuit encapsulation Property creation Symbol placement Symbol property definition Wire routing and definition Table6 Input Netlist File Sections Sections Examples Definition Title TITLE The first line in the netlist is the title of the input netlist file Set up OPTION IC or NODESET Sets conditions for simulation PARAM GLOBAL Sources Sources and digital inputs Netlist Circuit elements SUBKCT ENDS or MACRO EOM Analys
596. urce S witch for open DC connection when DC magis not set 0 default P element behaves as an impedance termination 1 P elementis considered an open circuit in DC operating point analysis DCOPEN 1 is mainly used in LIN analysis so the P element will not affect the self biasing device under test by opening the termination at the operating point LIN analysis S ystem impedance used when converting to a power source inserted in series with the voltage source Currently this only supports real impedance When power 0 z0 defaults to 0 When power 1 z0 defaults to 50 ohms You can also enter z0 val HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis LIN Analysis Parameter Description lt R DC val gt DC analysis Series resistance overrides z0 lt R AC val gt AC analysis Series resistance overrides z0 lt RHBAC val gt HSPICE RF HBAC analysis Series resistance overrides z0 lt R HB val gt HSPICE RF HB analysis Series resistance overrides z0 lt RTRAN val gt Transient analysis Series resistance overrides z0 lt power 0 1 2 W dbm HSPICE RF power switch When 0 default element treated as a voltage or current source When 1 or W element treated as a power source realized as a voltage source with a series impedance In this case the source value is interpreted as RMS available power in units of Watts When
597. urrent DV LX3 Derivative of the source voltage relative to the control current Table 33 Independent Voltage Source V Element Name Alias Description VOLT LV1 DC transient voltage VOLTM LV2 AC voltage magnitude VOLTP LV3 AC voltage phase Table 34 Independent Current Source I Element Name Alias Description CURR LV1 DC transient current CURRM LV2 AC current magnitude CURRP LV3 AC current phase Table 35 Diode D Element Name Alias Description AREA LV1 Diode area factor AREAX LV23 Area after scaling IC LV2 Initial voltage across diode HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Element Template Listings Table 35 Diode D Element Continued Name Alias Description VD LXO Voltage across diode VD excluding RS series resistance IDC LX1 DC current through diode ID excluding RS Total diode currentis the sum of IDC and ICAP GD LX2 Equivalent conductance GD QD LX3 Charge of diode capacitor QD ICAP LX4 Current through the diode capacitor Total diode current is the sum of IDC and ICAP C LX5 Total diode capacitance PID LX7 Photo current in diode Table 36 BJT Q Element Name Alias Description AREA LV1 Area factor ICVBE LV2 Initial condition for base emitter voltage VBE ICVCE LV3 Initial condition for collector emitter voltage VCE MULT LV4 Number of multiple BJ Ts FT LV5 FT Unity gain bandwidth ISUB LV6 Substr
598. ut listing tiled Operating Point Information or you can type it directly into the input file preceded by a NODESET statement This eliminates recomputing the DC operating point in the second simulation Automatic Node Name Generation HSPICE or HSPICE RF can automatically assign internal node names To check both nodal voltages and branch currents you can use the assigned node name when you print or plot HSPICE or HSPICE RF supports several special cases for node assignment for example simulation automatically assigns node 0 as a ground node For CSOS CMOS Silicon on Sapphire if you assign a value of 1 to the bulk node the name of the bulk node is B Use this name to print the voltage at the bulk node When printing or plotting current for example PLOT I R1 HSPICE inserts a zero valued voltage source This source inserts an extra node in the circuit named Vnn where nn is a number that HSPICE or HSPICE RF automatically generates this number appears in the output listing file Global Node Names The GLOBAL statement globally assigns a node name in HSPICE or HSPICE RF This means that all references to a global node name used at any level of the hierarchy in the circuit connect to the same node HSPICE 8 Simulation and Analysis User Guide 49 Y 2006 03 Chapter 3 Input Netlist and Data Entry Input Netlist File Composition 50 The most common use of a GLOBAL statement is if your netlist file includes Subc
599. v 130n 5v 170n Ov 180n r 60n r2201 end This example shows an entire netlist which contains two piecewise linear voltage sources The two sources have the same function JFirstis in normal format The repeat starts at the beginning of the function Second is in ASPEC format The repeat starts at the first timepoint See Figure 19 for the difference in responses HSPICE 8 Simulation and Analysis User Guide 141 Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Figure 19 Results of Using the Repeat Function PWL Source Funtion with repeat O ts Repeat from this point Start repeating i at this point Repeat from this poirit 0 0 50n 100n 150n 200n 250n 300n 350n 4006 450n 500n Data Driven Piecewise Linear Source HSPICE provides a data driven piecewise linear source function in an independent voltage or current source Syntax Vxxx n n PWL TIME PV Ixxx n n PWL TIME PV DATA dataname TIME PV Ll v1 t2 v2 t3 v3 t4 v4 ENDDATA TRAN DATA datanam 142 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 5 Sources and Stimuli Independent Source Functions Parameter Description TIME Parameter name for time value provided in a DATA statement PV Parameter name for amplitude value provided in a DATA statement You must use this source with a DATA statement that contains time value pairs For each tn vn time value
600. vailable in HSPICE RF only sc The extracted S parameters 2 port noise parameters are written to a sc file by using the S element format If you want to simulate the S element you can reference the sc file in your netlist N numOfPorts DATA numOfFreq NOISE 0 1 GROUPDELAY 0 1 NumOfBlock numOfSweepBlocks NumOfParam numOfSweptParameters MIXEDMODE 0 1 DATATYPE mixedModeDataTypeString MODEL mname S HSPICE 8 Simulation and Analysis User Guide 379 Y 2006 03 Chapter 11 Linear Network Parameter Analysis Extracting Mixed Mode Scattering S Parameters N numOfPorts FQMODEL SFQMODEL TYPE S Zo MODEL SFQMODEL SP N numberOfPorts SPACING POI INTERPOLATION LINEAR MATRIX NONSYMMETRIC DATA numberOfData freql sllreal sllimag sl2real s12imag slNreal slNimag sNireal sNlimag sNNreal sNNimag freqNumberOfData sllreal sllimag sl2real sl2imag s1Nreal slNimag sNireal sNlimag sNNreal sNNimag 2 port noise parameter frequency Fmin dB GammaOpt M GammaOpt P 0 10000E 09 05 1 0000 0 1 0281 ox 0X RN Zo The 2 port noise section starts with so that you can include this file in your HSPICE or HSPICE RF input netlists Features Supported LIN analysis in HSPICE and HSPICE RF supports the following features m Automatic calculation of bias dependent S Y and Z parameters No additional sources required m Automatic calculation of noise parameters m Au
601. val vdd 5 rise 3 meas pulse width trig v carry out 1 val vdd 5 rise 1 targ v carry out 1 val vdd 5 fall 1 meas fall time trig v c 1 td 32ns val vdd 9 fall 1 targ v c 1 td 32ns val vdd 1 fall 1 vdd vdd gnd dc vdd x1 a 0 b 0 carry in c 0 carry out 1 onebit x2 a 1 b 1 carry out 1 c 1 carry out 2 onebit HSPICE 8 Simulation and Analysis User Guide 207 Y 2006 03 Chapter 5 Sources and Stimuli Replacing Sources With Digital Inputs subcircuit definitions Subckt nand inl in2 out wp 10 wn 5 ml out inl vdd vdd p w wp l lmin ad 0 m2 out in2 vdd vdd p w wp l lmin ad 0 m3 out inl mid gnd n w wn l lmin as 0 m4 mid in2 gnd gnd n w wn l lmin ad 0 cload out gnd wp 5 7f ends Subckt onebit inl in2 carry in out carry out xl inl in2 41 nand nand x2 inl 41 nand 8 nand x3 in2 41 nand 9 nand x4 8 9 10 nand x5 carry in 10 halfl nand x6 carry in halfl half2 nand x7 10 half1 13 nand x8 half2 13 out nand x9 half1 1 nand carry out nand ends onebit stimulus carryin EAM X Adr vy a register inputs i c PN b register inputs IN v1l carry in gnd pwl 0ns lo 1ns hi 7 5ns hi 8 5ns lo 15ns lo r v2 a 0 gnd pwl 0ns hi 1ns lo 15 0ns lo 16 0ns hi 30ns hi r v3 a 1 gnd pwl 0ns hi 1ns lo 15 0ns lo 16 0ns hi 30ns hi r v4 b 0 gnd pwl 0ns hi 1ns lo 30 0ns lo 31 0ns hi 60ns hi v5 b 1 gnd pwl 0ns hi 1ns lo 30 0ns lo 31 0
602. variability have been used in the industry Two approaches are shown below with their expressions for HSPICE The basic construct to calculate mismatch as a function of device size is get E for details see Access Functions on page 442 HSPICE amp Simulation and Analysis User Guide 461 Y 2006 03 Chapter 16 DC Mismatch Analysis DCmatch Analysis 462 Example 1 This example assumes a standard transistor size and scales the variation with the number of devices in parallel This covers the practice of interdigitating matched devices of a characterized standard size pmos pch vthO dmvp0 sqrt E M u0z dmupO sqrt E M Example 2 This example shows an approach that calculates the variation as a function of device size Two of the three terms implement the well known dependence on the inverse of the square root of the device area pmos pch vth0 dmpvtwl sqrt get E W get E L get E M dmpvtwll get E L sqrt get E W get E M u0 z dmpuOwl sqrt get E W get E L get E M Note thatthe HSPICE approach with user defined expressions for sigma allows for much more flexibility than the relationships shown in the above two examples For example the variations due to body effect can easily be included Parameter Traceability The parameters and expressions are derived from characterizing dedicated test structures for a given semiconductor technology To use this information successfully it must be understoo
603. ver it is available Disabling OPTION vamodel with OPTION spmodel These options are not supported in HSPICE RF Use the OPTION spmodel netlist option to switch back to the HSPICE definition For example if you override the HSPICE definition with the Verilog A definition using OPTION HSPICE 8 Simulation and Analysis User Guide 413 Y 2006 03 Chapter 12 Using Verilog A Instantiating Verilog A Devices vamodel USe OPTION spmodel during ALTER analysis to revert to the HSPICE definition which is the same as the VAMODEL option The SPMODEL option works on cell based definitions only Instance based overriding is not supported Syntax OPTION spmodel name The name is the cell name that will use spice definition Each spmodel option can take no more than one name multiple names need multiple spmode1 options Example 1 option spmodel This example disables the previous OPTION vamodel but has no effect on the other vamodel options if they are specified for the individual cells For example if option vamodel vco is set the cell of vco uses the Verilog A definition whenever it is available Example 2 option spmodel chargepump This example disables the previous option vamodel chargepump which causes all instantiations of chargepump to now use the subcircuit definition again Using Vector Buses or Ports The Verilog A language supports the concept of buses vector ports whereas HSPICE does not If y
604. ves version HSPICE Simulation and Analysis User Guide 611 Y 2006 03 Appendix C HSPICE GUI for Windows Working with Designs New designs can be saved with the command File Save Table 68 Design Commands in the Launcher Command Description File New Clears the Launcher and opens a new design File Open Opens an existing design with the file browser File Save Saves the current design information File Save As Not implemented in Version 1 0 File Close Closes the current design lt LastDesigns gt Lists the last five designs opened File gt Exit Exits the Launcher The commands File gt New Open and Close prompt you to save the current design if changes have occurred The Launcher checks on the status of a given design when it is opened If the input file exists the Simulate button is active If the listing file exists for the design the Edit Listing button is active The Edit Netlist and AvanWaves buttons are always active You do not need to save a design to Simulate or view the results of a simulation with AvanWaves Figure 123 shows the main window of the Launcher 612 HSPICE Simulation and Analysis User Guide Y 2006 03 Appendix C HSPICE GUI for Windows Configuring the HSPICE GUI for Windows Figure 123 Launcher Main Window File Configuration Tool Help Design Title Listing Version sanopsys Hspice2003 09 SP1 BIN hapic MultiCpu Option 1 e
605. vf sp voltage to frequency converter behavioral model xalg1 sp QA of parameters 524 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 19 Running Demonstration Files Demonstration Input Files File Name Description xalg2 sp QA of parameters Applications of General Interest installdir demo hspice apps alm124 sp AC noise and transient op amp analysis alter2 sp ALTER examples ampg sp pole zero analysis of a G source amplifier asicl sp ground bounce for I O CMOS driver asic3 sp ten stage lumped MOS model bjt2bit sp BJ T two bit adder bjt4bit sp four bit all NAND gate binary adder bjtdiff sp BJ T diff amp with every analysis type bjtschmt sp bipolar Schmidt trigger bjtsense sp bipolar sense amplifier cellchar sp characteristics of ASIC inverter cell crystal sp crystal oscillator circuit gaasamp sp simple GaAsFET amplifier grouptim sp group time delay example inv sp sweep MOSFET 3 sigma to 3 sigma use MEASURE output mcdiff sp CMOS differential amplifier mondc a sp Monte Carlo of MOS diffusion and photolithographic effects HSPICE only mondc b sp Monte Carlo DC analysis HSPICE only montl sp Monte Carlo Gaussian uniform and limit function HSPICE only HSPICE Simulation and Analysis User Guide 525 Chapter 19 Running Demonstration Files Demonstration Input F iles File Name Description mos2bit sp
606. watts rms power optrc identifies rx and cx as optimization parameters and sets their starting minimum and maximum values measure statement rcl calculates the rc time constant 3679ze rc where the goal is rc 1sec measure statement rc2 calculates the rms power where the goal is 50 milliwatts hspice features used measure voltages and report times subject to goal measure device power dissapation subject to goal element value parameterization parameter optimization function transient with sweep optimize ox F F F Xo X option post Lle 2 param rx optrc 5 le 2 5b 1e 2 1e 2 param cx optrc measure tran rcl trig at 0 targ v 1 val 3679 fall 1 goal isec measure tran rc2 rms p r1 goal 50mwatts model opt1 opt tran 1 2 initial values tran 1 2 sweep optimize optrc results rcl rc2 model optl tran 1 2 analysis using final optimized values HSPICE 8 Simulation and Analysis User Guide 477 Y 2006 03 Chapter 17 Optimization 478 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 17 Optimization Overview The optimizer initially uses the Steepest Descent method as the fastest approach to the solution It then uses the Gauss Newton method to find the solution During this process the Marquardt Scaling Parameter becomes very small but starts to increase again if the solution starts to deviate If this happens the optimizer chooses
607. with the 2004 03 release the mt format consists of 72 characters in a line and fields that contain 16 characters each The extra line that existed in previous releases has been removed To control the output variables listed in MEASURE statements use the PUTMEAS option For more information see the OPTION PUTMEAS option in the HSPICE Command Reference In versions of HSPICE before 2003 09 to automatically sort large numbers of MEASURE statements you could use the MEASSORT option Starting in version 2003 09 this option is obsolete Now the measure performance is order independent and HSPICE ignores this option MEASURE Statement Order The MEASURE statement matches the last analysis command in the netlist before the MEASURE statement Example tran 20p 1 0n sweep sigma 3 3 0 5 tran 20p 1 0n sweep monte 20 meas mover max v 2 1 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 7 Simulation Output Specifying User Defined Analysis MEASURE In this example meas matches the second tran statement and generates only one measure output file MEASURE Parameter Types You cannot use measurement parameter results that the PARAM statements in SUBCKT blocks produce outside of the subcircuit Thatis you cannot pass any measurement parameters defined in SUBCKT statements as bottom up parameters in hierarchical designs Measurement parameter names must not conflict with standard parameter
608. worst case 544 548 577 yield 544 arccos x function 229 arcsin x function 229 arctan x function 229 arithmetic operators 229 ASIC libraries 62 asin x function 229 atan x function 229 ATEM characterization system 61 AUNIF keyword 557 autoconvergence 302 AUTOSTOP option 327 average deviation 545 average value measuring 271 Bst node name in CSOS 49 backslash continuation character 228 batch job list MS Windows launcher 615 behavioral current source 186 voltage source 171 Behavioral capacitors 76 Behavioral resistors 69 Biaschk 321 Bipolar J unction Transistors See BJ Ts BJTs current flow 255 element template listings 279 elements names 93 power dissipation 258 S parameters optimization 485 bond wire example 513 618 branch current output 253 breakpoint table reducing size 337 buffer 118 C C Element capacitor 74 calculating 28 calculating new measurements new measurements 28 capacitance element parameter 71 manufacturing variations 566 capacitor conductance requirement 306 current flow 254 element 71 74 275 frequency dependent 75 linear 74 models 71 voltage controlled 188 193 CAPOP model parameter 329 CCCS element parameter 180 CCVS element parameter 195 196 cell characterization 545 characterization of models 295 CHGTOL option 335 circuits adder 507 description syntax 39 inverter MOS 320 nonconvergent 310 RC network 346 reusable 57 subcircuit numbers 48 temperature
609. x 1 the Maximum Available Power Gain is undefined and HSPICE RF returns the Maximum Stable Gain Maximum Stable Gain G MSG For a two port that is conditionally stable K 1 the following equation calculates the maximum stable gain TEMERE MSG s i2 HSPICE 8 Simulation and Analysis User Guide 371 Y 2006 03 Chapter 11 Linear Network Parameter Analysis RF Measurements From LIN To achieve this gain resistively load the unstable two port so that K Z1 and then simultaneously conjugately match the input and output ports G_MSG is therefore equivalent to G MAx with K 1 In terms of admittance parameters Poi Cx ee MSG Yil MSG is equivalent to the Maximum Available Power Gain if K 1 Maximum Unilateral Transducer Power Gain G TUMAX This is the highest possible gain that a two port with no feedback that is 51570 can achieve p soul 5 sua DN tumax Unilateral Power Gain GU This is the highest gain that the active two port can ever achieve by embedding in a matching network that includes feedback The frequency where the unilateral gain becomes unity defines the boundary between an active and a passive circuit The frequency is usually referred to as fmax the maximum frequency of oscillation To realize this gain HSPICE RF neutralizes the feedback of the two port and simultaneously conjugate matches both input and output DL Or S B 12 Gy Se ee S 2K21 zrel 512 S12 372
610. y one name for each dimension Controlling current through the vn1 source Specify the x values in increasing order Corresponding output voltage values of x HSPICE amp Simulation and Analysis User Guide 197 Chapter 5 Sources and Stimuli Current Dependent Voltage Sources H Elements 198 Example 1 HX 20 10 VCUR MAX 10 MIN 10 1000 The example above selects a linear current controlled voltage source The controlling current flows through the dependent voltage source called VCUR Example 2 The defining equation of the CCVS is HX 1000 J VCUR The defining equation specifies that the voltage output of HX is 1000 times the value of the current flowing through VCUR fthe equation produces a value of HX greater than 10 V then the MAX parameter sets HX to 10 V fthe equation produces a value of HX less than 10 V then the MIN parameter sets HX to 10 V VCUR is the name of the independent voltage source through which the controlling current flows If the controlling current does not flow through an independent voltage source you must insert a dummy independent voltage source Example 3 PARAM CT 1000 HX 20 10 VCUR MAX 10 MIN 10 CT HXY 13 20 POLY 2 VIN1 VIN20000 1 IC 0 5 1 3 The example above describes a dependent voltage source with the value V KVINI I VIN2 This two dimensional polynomial equation specifies FA1 VIN1 FA2 VIN2 P0 0 P1 0 P2 0 P350 P4 l HSPICE
611. y11 m y21 m y12 m y22 m ac Dec 10 1e6 5g sweep data bias data bias vbe vce ib ic 771 5648m 292 5047m 1 2330u 126 9400u 797 2571m 323 9037m 2 6525u 265 0100u 821 3907m 848 7848m 5 0275u 486 9900u 843 5569m 1 6596 8 4783u 789 9700u 864 2217m 2 4031 13 0750u 1 1616m 884 3707m 2 0850 19 0950u 1 5675m enddata end Other possible biasing configurat HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 11 Linear Network Parameter Analysis NET Parameter Analysis NET Parameter Analysis xij 2 ZIN z ZOUT z YIN Z YOUT z Parameter Description X In HSPICE or HSPICE RF can be Z impedance Y admittance H hybrid or S scattering ij i and j identify the matrix parameter to printin HSPICE or HSPICE RF Value can be 1 or 2 Use with the X value above for example S jj Zij Y ij or Hij ZIN Input impedance For the one port network ZIN Z11 and H11 are the same HSPICE or HSPICE RF ZOUT Output impedance HSPICE or HSPICE RF Z Output type HSPICE or HSPICE RF R real part imaginary part M magnitude P phase DB decibel T group time delay HSPICE RF does not support group time delays in AC analysis output YIN Input admittance For a one port network YIN is the same as Y 11 HSPICE or HSPICE RF YOUT Output admittance HSPICE or HSPICE RF If you omit z output includes the magnitude of the output variable The output of AC Analysis incl
612. z y greater than or equal to z than or equal equality Returns 1 if the operands are equal Otherwise returns 0 para x y z y equal to z inequality Returns 1 if the operands are not equal Otherwise returns 0 para x y z y not equal to z Logical Returns 1 if neither operand is zero Otherwise AND returns 0 para xzy amp amp z y AND z Logical OR Returns 1 if either or both operands are not zero Returns 0 only if both operands are zero para x yl z y OR z Example parameters p1 4 p2 5 p3 6 ri 1 0 value pl p2 1 p3 HSPICE reserves the variable names listed in Table 19 on page 232 for use in elements such as E G R C and L You can use them in expressions but you cannot redefine them for example this statement would be illegal param temper 100 Table 19 Synopsys HSPICE Special Variables HSPICE Form Function Class Description time 232 current control Uses parameters to define the current simulation simulation time during transient analysis time HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 6 Parameters and Functions Parameter Scoping and Passing Table 19 Synopsys HSPICE Special Variables Continued HSPICE Form Function Class Description temper current circuit control Uses parameters to define the current simulation temperature temperature during transient temperature analysis hertz current control Uses parameters to define
613. zation Overview Figure 76 Operational Amplifier Optimization AMPORT SP MOS OPERATIONAL AMPLIFIER OPTIMIZATION APRIL 22 2004 18 57 06 3 9877 Sere eot RHPOPT TRO au eB IN ae PULL MEE i e SML ad 2 3 0 X PIYOUTR 2 wrvourF A ig 2 0 ut 3 B z mp adi E E z 102 pec eU N URL oe d ae TT LI i LI LI l I LI 1 I i LI 03 H x i o 6 40M UL AKPOPT TRO gt POVER 6 0M z 5 80M ar 5 60M 2 5 40M ed crn TY i nr DE LS i laca 11 i KU EGO 0 571 13 0 25 0 50 0N 75 0N 100 0N 125 0N 150 0N TIME LIN HSPICE 8 Simulation and Analysis User Guide 497 Y 2006 03 Chapter 17 Optimization Overview 498 HSPICE Simulation and Analysis User Guide Y 2006 03 Chapter 18 RC Reduction Linear Acceleration 18 RC Reduction Describes RC network reduction Linear Acceleration Linear acceleration by using the STM LA option accelerates the simulation of circuits that include large linear RC networks To achieve this acceleration HSPICE linearly reduces all matrices that represent RC networks The result is a smaller matrix that maintains the original port behavior yet achieves significant savings in memory and computation Thus the STM LA option is ideal for circuits with large numbers of resistors and capacitors such as clock trees power lines or substrate networks In general the RC elements are separated into their own n

Download Pdf Manuals

image

Related Search

Related Contents

MHS-TS22 - Sony Europe  Haier HWM50-10H User's Manual  Targus ASF184W9EU screen protector  Panduit 24-port, Category 5e, patch panel    徳島大学生活協同組合 教材 PC 4 年間サポート規約 第1条 適用範囲 1  PDFファイル  DDP-405 USER'S MANUAL  PowerFlex® 40P Adjustable Frequency AC Drive !  InLine 55467B stylus pen  

Copyright © All rights reserved.
Failed to retrieve file